欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      《計(jì)算機(jī)組成原理實(shí)驗(yàn)》教學(xué)大綱(信息)[五篇模版]

      時(shí)間:2019-05-12 07:54:26下載本文作者:會(huì)員上傳
      簡(jiǎn)介:寫(xiě)寫(xiě)幫文庫(kù)小編為你整理了多篇相關(guān)的《《計(jì)算機(jī)組成原理實(shí)驗(yàn)》教學(xué)大綱(信息)》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫(xiě)寫(xiě)幫文庫(kù)還可以找到更多《《計(jì)算機(jī)組成原理實(shí)驗(yàn)》教學(xué)大綱(信息)》。

      第一篇:《計(jì)算機(jī)組成原理實(shí)驗(yàn)》教學(xué)大綱(信息)

      《計(jì)算機(jī)組成結(jié)構(gòu)實(shí)驗(yàn)》教學(xué)大綱

      (Experiments of Computer Orgnaization)

      一、基本信息

      課程代碼:1200211 學(xué)分:1 總學(xué)時(shí):28

      適用對(duì)象:計(jì)算機(jī)科學(xué)與技術(shù)專(zhuān)業(yè) 先修課程:無(wú)

      二、課程性質(zhì)、教學(xué)目的和要求

      (一)課程性質(zhì)和目的

      《計(jì)算機(jī)組成結(jié)構(gòu)實(shí)驗(yàn)》是為配合《計(jì)算機(jī)組成結(jié)構(gòu)原理》課程的教學(xué)而開(kāi)設(shè)的獨(dú)立實(shí)驗(yàn)課程,主要培養(yǎng)學(xué)生在計(jì)算機(jī)組成方面的基本知識(shí),了解模型機(jī)的設(shè)計(jì)、調(diào)試與分析。

      通過(guò)本實(shí)驗(yàn)大綱所安排的實(shí)驗(yàn)內(nèi)容,使學(xué)生能更好地理解和掌握計(jì)算機(jī)組成結(jié)構(gòu)的工作原理、內(nèi)部各單元的工作過(guò)程和涉及的相應(yīng)技術(shù),鍛煉學(xué)生的動(dòng)手能力以及一定的簡(jiǎn)單計(jì)算機(jī)設(shè)計(jì)能力。

      (二)教學(xué)方法和手段

      《計(jì)算機(jī)組成結(jié)構(gòu)實(shí)驗(yàn)》課程的教學(xué)方式包括課堂教學(xué)、課堂實(shí)驗(yàn)、實(shí)驗(yàn)考核和實(shí)驗(yàn)報(bào)告四部分。

      課堂教學(xué)部分教師可采用多媒體教學(xué)展示及現(xiàn)場(chǎng)操作演示兩種方式開(kāi)展。課堂實(shí)驗(yàn)部分學(xué)生獨(dú)立實(shí)驗(yàn),根據(jù)實(shí)驗(yàn)?zāi)康募耙箝_(kāi)展實(shí)驗(yàn)活動(dòng)。實(shí)驗(yàn)考核部分由教師根據(jù)實(shí)驗(yàn)?zāi)康臋z查學(xué)生的實(shí)驗(yàn)結(jié)果,進(jìn)行實(shí)際測(cè)評(píng)。

      實(shí)驗(yàn)報(bào)告部分由學(xué)生遞交詳細(xì)實(shí)驗(yàn)報(bào)告,記錄實(shí)驗(yàn)過(guò)程、心得體會(huì)、所遇到的問(wèn)題及解決問(wèn)題的過(guò)程。

      (三)教學(xué)安排及實(shí)驗(yàn)環(huán)境 學(xué)時(shí)數(shù):28學(xué)時(shí) 學(xué)時(shí)安排:實(shí)驗(yàn)一~實(shí)驗(yàn)八每個(gè)實(shí)驗(yàn)2學(xué)時(shí)。

      實(shí)驗(yàn)九~實(shí)驗(yàn)十一每個(gè)實(shí)驗(yàn)4學(xué)時(shí)。

      所有教學(xué)課程均在計(jì)算機(jī)組成實(shí)驗(yàn)室開(kāi)展。

      三、教學(xué)內(nèi)容及學(xué)時(shí)分配

      實(shí)驗(yàn)一 實(shí)驗(yàn)臺(tái)基本操作(2學(xué)時(shí))

      (一)目的

      1、了解實(shí)驗(yàn)臺(tái)基本結(jié)構(gòu),熟悉實(shí)驗(yàn)臺(tái)連線(xiàn)的識(shí)別、實(shí)驗(yàn)臺(tái)控制信號(hào)標(biāo)識(shí)規(guī)則。

      2、掌握實(shí)驗(yàn)基本操作方法,為以后的實(shí)驗(yàn)作技術(shù)準(zhǔn)備。

      (二)內(nèi)容

      1、熟悉實(shí)驗(yàn)臺(tái)各個(gè)組成部分。

      2、把輸入開(kāi)關(guān)上的數(shù)據(jù)送總線(xiàn)。

      3、把總線(xiàn)上的數(shù)據(jù)輸出到輸出部件。實(shí)驗(yàn)二 算術(shù)邏輯運(yùn)算實(shí)驗(yàn)(2學(xué)時(shí))

      (一)目的

      1、了解運(yùn)算器芯片(74LS181)的邏輯功能。

      2、掌握運(yùn)算器數(shù)據(jù)的載入、讀取方法,掌握運(yùn)算器工作模式的設(shè)置。

      3、觀察在不同工作模式下數(shù)據(jù)運(yùn)算的規(guī)則。

      (二)內(nèi)容

      1、完成運(yùn)算器的數(shù)據(jù)傳輸操作。

      2、驗(yàn)證運(yùn)算器的各項(xiàng)運(yùn)算功能。實(shí)驗(yàn)三 進(jìn)位與判零控制實(shí)驗(yàn)(2學(xué)時(shí))

      (一)目的

      1、掌握運(yùn)算器標(biāo)志位產(chǎn)生的方法。

      2、通過(guò)實(shí)驗(yàn)從實(shí)際電路中觀察標(biāo)志位產(chǎn)生的過(guò)程。

      (二)內(nèi)容

      1、觀察運(yùn)算器的判零標(biāo)志。

      2、觀察運(yùn)算結(jié)果的進(jìn)位標(biāo)志。

      3、完成多位數(shù)的算術(shù)運(yùn)算功能。實(shí)驗(yàn)四 寄存器讀寫(xiě)實(shí)驗(yàn)(2學(xué)時(shí))

      (一)目的

      1、掌握通用寄存器的組成及數(shù)據(jù)傳輸操作。

      (二)內(nèi)容

      1、完成通用寄存器組的寫(xiě)入操作,讀出操作。實(shí)驗(yàn)五 移位控制實(shí)驗(yàn)(2學(xué)時(shí))

      (一)目的

      1、了解移位寄存器芯片(74LS299)的邏輯功能。

      2、掌握移位寄存器數(shù)據(jù)載入、左移、右移及帶進(jìn)位左右移的方法。

      3、掌握移位寄存器工作模式的設(shè)置,觀察在不同工作模式下移位寄存器的邏輯功能。

      (二)內(nèi)容

      1、完成移位寄存器的數(shù)據(jù)傳輸操作。

      2、完成各種移位功能操作。實(shí)驗(yàn)六 存儲(chǔ)器讀寫(xiě)實(shí)驗(yàn)(2學(xué)時(shí))

      (一)目的

      1、掌握存儲(chǔ)器的工作特性。

      2、熟悉靜態(tài)存儲(chǔ)器的操作過(guò)程,驗(yàn)證存儲(chǔ)器的讀寫(xiě)方法。

      (二)內(nèi)容

      1、完成地址總線(xiàn)的相關(guān)操作。

      2、完成存儲(chǔ)器的寫(xiě)入操作,讀出操作。實(shí)驗(yàn)七 總線(xiàn)數(shù)據(jù)傳輸控制實(shí)驗(yàn)(2學(xué)時(shí))

      (一)目的

      1、理解總線(xiàn)的概念,了解總線(xiàn)的作用和特性。

      2、掌握用總線(xiàn)傳輸數(shù)據(jù)的控制原理和方法。

      (二)內(nèi)容

      2、完成運(yùn)算器、寄存器、移位寄存器、存儲(chǔ)器、輸入輸出部件等單元的數(shù)據(jù)傳輸和數(shù)據(jù)處理操作。

      實(shí)驗(yàn)八 脫機(jī)方式下微代碼裝入與執(zhí)行實(shí)驗(yàn)(2學(xué)時(shí))

      (一)目的

      1、通過(guò)微程序的編制、裝入、執(zhí)行,驗(yàn)證微程序控制器控制的工作方法。

      2、觀察微程序的運(yùn)行過(guò)程,為進(jìn)行簡(jiǎn)單模型計(jì)算機(jī)實(shí)驗(yàn)作準(zhǔn)備。

      (二)內(nèi)容

      1、微代碼的寫(xiě)入和校驗(yàn)。

      2、單步執(zhí)行微代碼。

      3、連續(xù)執(zhí)行微代碼。

      實(shí)驗(yàn)九 簡(jiǎn)單模型計(jì)算機(jī)實(shí)驗(yàn)(4學(xué)時(shí))

      (一)目的

      1、通過(guò)實(shí)驗(yàn)分析簡(jiǎn)單模型機(jī)結(jié)構(gòu),了解計(jì)算機(jī)工作原理。

      2、掌握計(jì)算機(jī)微程序控制器的控制方法,掌握計(jì)算機(jī)指令執(zhí)行過(guò)程。

      (二)內(nèi)容

      1、設(shè)計(jì)模型機(jī)指令系統(tǒng)。

      2、編制微程序?qū)崿F(xiàn)各條指令功能。

      3、按指定功能要求編制程序,并運(yùn)行、調(diào)試。實(shí)驗(yàn)十 帶移位運(yùn)算的模型機(jī)設(shè)計(jì)與實(shí)現(xiàn)(4學(xué)時(shí))

      (一)目的

      1、進(jìn)一步熟悉用微程序控制方式構(gòu)造模型計(jì)算機(jī)的過(guò)程。

      2、掌握調(diào)試計(jì)算機(jī)的基本步驟及方法。

      (二)內(nèi)容

      1、設(shè)計(jì)模型機(jī)指令系統(tǒng)。

      2、編制微程序?qū)崿F(xiàn)各條指令功能。

      3、按指定功能要求編制程序,并運(yùn)行、調(diào)試。實(shí)驗(yàn)十一 機(jī)器指令設(shè)計(jì)實(shí)驗(yàn)(4學(xué)時(shí))

      (一)目的

      1、綜合運(yùn)用所學(xué)計(jì)算機(jī)原理知識(shí),設(shè)計(jì)指令的微代碼。

      2、提高學(xué)生對(duì)計(jì)算機(jī)機(jī)器指令的理解,鍛煉學(xué)生自己動(dòng)手設(shè)計(jì)模型計(jì)算機(jī)機(jī)器指令的能力。

      (二)內(nèi)容

      1、設(shè)計(jì)模型機(jī)指令系統(tǒng)。

      2、編制微程序?qū)崿F(xiàn)各條指令功能。

      四、考核方式及成績(jī)?cè)u(píng)定標(biāo)準(zhǔn)

      考核方式:本實(shí)驗(yàn)課程考核方式包括實(shí)驗(yàn)理論和實(shí)驗(yàn)操作兩部分。

      成績(jī)?cè)u(píng)定標(biāo)準(zhǔn):本實(shí)驗(yàn)課程總成績(jī)包括實(shí)驗(yàn)報(bào)告成績(jī)、實(shí)驗(yàn)操作成績(jī)、期末考試成績(jī)?nèi)糠?。其中?shí)驗(yàn)報(bào)告成績(jī)占20%,實(shí)驗(yàn)操作成績(jī)占30%,期末考試成績(jī)占50%。實(shí)驗(yàn)報(bào)告成績(jī)?cè)u(píng)定為五分制(A/B/C/D/E),實(shí)驗(yàn)操作成績(jī)?cè)u(píng)定為五分制(A/B/C/D/E),期末考試成績(jī)?cè)u(píng)定為百分制,總成績(jī)?cè)u(píng)定為百分制。

      五、教材及主要參考書(shū)目

      教材: 張建中、嚴(yán)義,《計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)》,浙江科學(xué)技術(shù)出版社。參考書(shū):[1]、包健、馮建文、章復(fù)嘉,《計(jì)算機(jī)組成原理》,浙江科學(xué)技術(shù)出版社。

      [2]、王愛(ài)英,《計(jì)算機(jī)組成與結(jié)構(gòu)》,清華大學(xué)出版社,第二版,2000.7

      執(zhí)筆人:倪金龍

      第二篇:計(jì)算機(jī)組成原理教學(xué)大綱

      教 學(xué) 大 綱

      第一章 計(jì)算機(jī)系統(tǒng)概論

      教學(xué)內(nèi)容

      一、計(jì)算機(jī)的分類(lèi)與應(yīng)用

      二、計(jì)算機(jī)的硬件組成

      三、計(jì)算機(jī)的軟件

      四、計(jì)算機(jī)的層次結(jié)構(gòu)

      第二章 運(yùn)算方法和運(yùn)算器

      一、學(xué)習(xí)目的和基本要求

      (一)掌握數(shù)字?jǐn)?shù)據(jù)與非數(shù)值數(shù)據(jù)的表示

      (二)掌握定點(diǎn)運(yùn)算方法

      (三)了解定點(diǎn)運(yùn)算器

      (四)了解浮點(diǎn)運(yùn)算方法及浮點(diǎn)運(yùn)算器

      二、重點(diǎn)難點(diǎn)

      計(jì)算機(jī)中數(shù)的四種機(jī)器碼表示、數(shù)據(jù)格式(定點(diǎn)與浮點(diǎn))、字符與漢字的表示方法、奇偶校驗(yàn)、定點(diǎn)加減運(yùn)算、定點(diǎn)與浮點(diǎn)的溢出檢測(cè)

      三、教學(xué)內(nèi)容

      (一)數(shù)據(jù)格式

      (二)四種機(jī)器碼表示

      (三)非數(shù)值數(shù)據(jù)的表示

      (四)定點(diǎn)運(yùn)算和定點(diǎn)運(yùn)算器

      (五)浮點(diǎn)運(yùn)算方法

      (六)浮點(diǎn)運(yùn)算流水線(xiàn)和浮點(diǎn)運(yùn)算器

      第三章 存儲(chǔ)系統(tǒng)一、學(xué)習(xí)目的和基本要求

      (一)了解存儲(chǔ)器的基本概念

      (二)掌握SRAM與DRAM的組成及工作原理

      (三)了解只讀存儲(chǔ)器的特點(diǎn)

      (四)掌握提高存儲(chǔ)器速度的方法

      (五)掌握cache的工作原理,了解pentium PC機(jī)的cache

      (六)了解虛擬存儲(chǔ)器的原理及分類(lèi)

      二、重點(diǎn)難點(diǎn)

      存儲(chǔ)器的分級(jí)結(jié)構(gòu)、SRAM與DRAM特點(diǎn)、字位擴(kuò)展、DRAM的刷新、Cache原理、虛擬存儲(chǔ)技術(shù)

      三、教學(xué)內(nèi)容

      (一)存儲(chǔ)器的分類(lèi)、分級(jí)結(jié)構(gòu)、主要技術(shù)指標(biāo)

      (二)SRAM(組成、特點(diǎn))

      (三)DRAM(特點(diǎn)、刷新)

      (四)CPU與存儲(chǔ)器的連接(字位擴(kuò)展)

      (五)CPU對(duì)存儲(chǔ)器的讀寫(xiě)操作

      (六)EDRAM

      (七)只讀存儲(chǔ)器(特點(diǎn)、分類(lèi)),閃速存儲(chǔ)器(特點(diǎn)、應(yīng)用)

      (八)高速存儲(chǔ)器(原理)

      (九)Cache

      (十)虛擬存儲(chǔ)技術(shù)

      第四章 指令系統(tǒng)一、學(xué)習(xí)目的和基本要求

      (一)了解指令系統(tǒng)的基本概念及性能要求

      (二)掌握指令格式

      (三)掌握指令和數(shù)據(jù)的尋址方式(包括堆棧尋址方式)

      (四)了解指令的分類(lèi)及基本指令系統(tǒng),掌握精簡(jiǎn)指令系統(tǒng)的特點(diǎn)

      二、重點(diǎn)難點(diǎn)

      指令格式、指令尋址方式、操作數(shù)尋址方式

      三、教學(xué)內(nèi)容

      (一)指令系統(tǒng)的基本概念

      (二)指令格式

      (三)指令尋址方式

      (四)數(shù)據(jù)尋址方式

      (五)堆棧尋址方式

      (六)指令的分類(lèi)

      (七)RISC與CISC

      第五章 中央處理器

      一、學(xué)習(xí)目的和基本要求

      (一)掌握中央處理器的功能和組成

      (二)了解指令周期的概念

      (三)了解計(jì)算機(jī)中時(shí)序信號(hào)的作用和體制,掌握時(shí)序控制方式

      (四)了解控制器的分類(lèi)、原理和技術(shù)

      (五)掌握流水CPU的結(jié)構(gòu)及相關(guān)問(wèn)題,了解奔騰CPU的流水結(jié)構(gòu)

      二、重點(diǎn)難點(diǎn)

      CPU的功能、CPU的基本組成、CPU中的主要寄存器、指令周期、時(shí)序信號(hào)的作用、微程序控制器與微程序設(shè)計(jì)技術(shù)

      三、教學(xué)內(nèi)容

      (一)CPU的功能

      (二)CPU的組成(基本組成、主要寄存器)

      (三)指令周期

      (四)時(shí)序產(chǎn)生器的作用和控制方式

      (五)微程序控制器(微程序概念、原理、機(jī)器指令與微指令的關(guān)系)

      (六)微程序設(shè)計(jì)技術(shù)(微指令格式)

      (七)硬布線(xiàn)控制器(基本思想)

      (八)流水CPU

      第六章 總線(xiàn)系統(tǒng)一、學(xué)習(xí)目的和基本要求

      (一)了解總線(xiàn)的基本概念及作用

      (二)掌握接口的概念及作用

      (三)掌握總線(xiàn)的總裁和定時(shí)方式

      (四)了解多總線(xiàn)結(jié)構(gòu)和PCI的特點(diǎn)

      二、重點(diǎn)難點(diǎn)

      總線(xiàn)結(jié)構(gòu)對(duì)計(jì)算機(jī)系統(tǒng)性能的影響、總線(xiàn)接口、信息的傳送方式、總線(xiàn)的總裁方式

      三、教學(xué)內(nèi)容

      (一)總線(xiàn)結(jié)構(gòu)對(duì)計(jì)算機(jī)系統(tǒng)性能的影響

      (二)總線(xiàn)接口(基本概念、功能)

      (三)總線(xiàn)的仲裁、定時(shí)方式

      第七章 外圍設(shè)備

      一、學(xué)習(xí)目的和基本要求

      (一)了解外圍設(shè)備的功能和分類(lèi)

      (二)掌握顯示設(shè)備的分類(lèi)及有關(guān)概念

      (三)了解打印設(shè)備的分類(lèi)

      (四)掌握硬磁盤(pán)存儲(chǔ)設(shè)備的工作原理、技術(shù)指標(biāo)

      二、重點(diǎn)難點(diǎn)

      顯示設(shè)備的分類(lèi)與有關(guān)概念、硬磁盤(pán)存儲(chǔ)設(shè)備

      三、教學(xué)內(nèi)容

      (一)外設(shè)的分類(lèi)

      (二)顯示設(shè)備

      (三)打印設(shè)備

      (四)硬磁盤(pán)存儲(chǔ)設(shè)備

      第八章 輸入輸出系統(tǒng)一、學(xué)習(xí)目的和基本要求

      (一)了解外圍設(shè)備的定時(shí)方式與信息交換方式

      (二)掌握中斷的基本概念及工作方式

      (三)掌握DMA傳送方式

      (四)了解通道的功能及分類(lèi)

      二、重點(diǎn)難點(diǎn) 程序中斷方式的基本概念、DMA傳送方式

      三、教學(xué)內(nèi)容

      (一)外圍設(shè)備的定時(shí)方式

      (二)程序中斷方式(中斷的概念、中斷接口、中斷處理過(guò)程)

      (三)DMA方式(DMA基本概念、傳送方式、分類(lèi))

      (四)通道方式(功能、類(lèi)型)

      第三篇:計(jì)算機(jī)組成原理實(shí)驗(yàn)

      ALU設(shè)計(jì)

      module ALU(ALU_OP,AB_SW,F_LED_SW,LED);

      input[2:0] ALU_OP,AB_SW,F_LED_SW;

      output[7:0] LED;reg[7:0] LED;

      reg[31:0] A,B,F;reg OF,ZF;

      always@(*)begin

      end

      always@(*)begin

      ZF=0;OF=0;case(ALU_OP)

      3'b000: begin F=A&B;end 3'b001: begin F=A|B;end 3'b010: begin F=A^B;end 3'b011: begin F=~(A|B);end 3'b100: begin {OF,F}=A+B;OF=OF^F[31];end 3'b101: begin {OF,F}=A-B;OF=OF^F[31];end 3'b110: begin F=A

      3'b000: begin A=32'h0000_0000;B=32'h0000_0000;end 3'b001: begin A=32'h0000_0003;B=32'h0000_0607;end 3'b010: begin A=32'h8000_0000;B=32'h8000_0000;end 3'b011: begin A=32'h7FFF_FFFF;B=32'h7FFF_FFFF;end 3'b100: begin A=32'h8000_0000;B=32'hFFFF_FFFF;end 3'b101: begin A=32'hFFFF_FFFF;B=32'h8000_0000;end 3'b110: begin A=32'h1234_5678;B=32'h3333_2222;end 3'b111: begin A=32'h9ABC_DEF0;B=32'h1111_2222;end endcase endcase

      if(F==32'b0)ZF=1;end

      always@(*)begin

      end case(F_LED_SW)

      3'b000: LED=F[7:0];3'b001: LED=F[15:8];3'b010: LED=F[23:16];3'b011: LED=F[31:24];default:begin LED[7]=ZF;LED[0]=OF;LED[6:1]=6'b0;end endcase

      endmodule 管腳配置

      NET “AB_SW[0]” LOC = T10;NET “AB_SW[1]” LOC = T9;NET “AB_SW[2]” LOC = V9;NET “ALU_OP[0]” LOC = M8;NET “ALU_OP[1]” LOC = N8;NET “ALU_OP[2]” LOC = U8;NET “F_LED_SW[0]” LOC = V8;NET “F_LED_SW[1]” LOC = T5;NET “F_LED_SW[2]” LOC = B8;NET “LED[0]” LOC = U16;NET “LED[1]” LOC = V16;NET “LED[2]” LOC = U15;NET “LED[3]” LOC = V15;NET “LED[4]” LOC = M11;NET “LED[5]” LOC = N11;NET “LED[6]” LOC = R11;NET “LED[7]” LOC = T11;寄存器 module jicunqi(input Clk, input Reset, input [4:0] Reg_Addr, input Write_Reg, input [1:0] Sel, input AB, output reg [7:0] LED);reg [31:0] W_Data;wire [31:0] R_Data_A,R_Data_B,LED_Data;REG RU1(Clk,Reset,Reg_Addr,Reg_Addr,Reg_Addr,W_Data,Write_Reg,R_Data_A,R_Data_B);assign LED_Data=AB?R_Data_A : R_Data_B;always @(*)begin

      W_Data=32'h0000_0000;

      LED=8'b0000_0000;

      if(Write_Reg)

      begin

      case(Sel)

      2'b00: W_Data= 32'h1234_5678;

      2'b01: W_Data= 32'h89AB_CDEF;2'b10: W_Data= 32'h7FFF_FFFF;2'b11: W_Data= 32'hFFFF_FFFF;endcase end

      else

      begin

      case(Sel)

      2'b00: LED=LED_Data[7:0];2'b01: LED=LED_Data[15:8];2'b10: LED=LED_Data[23:16];2'b11: LED=LED_Data[31:24];

      endcase end end endmodule `timescale 1ns / 1ps // REG.v module REG(input Clk, input Reset, input [4:0] R_Addr_A, input [4:0] R_Addr_B, input [4:0] W_Addr, input [31:0] W_Data, input Write_Reg, output [31:0] R_Data_A, output [31:0] R_Data_B);

      reg [31:0] REG_Files[0:31];integer i;

      assign R_Data_A=REG_Files[R_Addr_A];assign R_Data_B=REG_Files[R_Addr_B];

      always @(posedge Clk or posedge Reset)begin

      if(Reset)

      begin

      for(i=0;i<=31;i=i+1)

      REG_Files[i]<=32'h0000_0000;

      end

      else

      begin

      if(Write_Reg)

      begin

      REG_Files[W_Addr]<=W_Data;

      end end end endmodule

      管腳配置 NET “Clk” LOC=“C9”;NET “Reset” LOC=“D9”;NET “Reg_Addr[4]” LOC=“T5”;NET “Reg_Addr[3]” LOC=“V8”;NET “Reg_Addr[2]” LOC=“U8”;NET “Reg_Addr[1]” LOC=“N8”;NET “Reg_Addr[0]” LOC=“M8”;NET “Write_Reg” LOC=“V9”;NET “Sel[1]” LOC=“T9”;NET “Sel[0]” LOC=“T10”;NET “AB” LOC=“A8”;NET “LED[7]” LOC=“T11”;NET “LED[6]” LOC=“R11”;NET “LED[5]” LOC=“N11”;NET “LED[4]” LOC=“M11”;NET “LED[3]” LOC=“V15”;NET “LED[2]” LOC=“U15”;NET “LED[1]” LOC=“V16”;NET “LED[0]” LOC=“U16”;

      第四篇:計(jì)算機(jī)組成原理課程設(shè)計(jì)教學(xué)大綱

      計(jì)算機(jī)組成原理課程設(shè)計(jì)教學(xué)大綱

      實(shí)習(xí)名稱(chēng):計(jì)算機(jī)組成原理課程設(shè)計(jì) 課程編碼:042219 學(xué) 分:3 實(shí)習(xí)周數(shù):3

      適用專(zhuān)業(yè):計(jì)算機(jī)科學(xué)與技術(shù)

      一、實(shí)習(xí)的目的與任務(wù)

      通過(guò)該課程設(shè)計(jì)的學(xué)習(xí),利用先進(jìn)的EDA設(shè)計(jì)手段,總結(jié)計(jì)算機(jī)組成原理課程的學(xué)習(xí)內(nèi)容,學(xué)會(huì)ISPEXPERT SYSTEM的使用、層次化設(shè)計(jì)方法、多路開(kāi)關(guān),邏輯運(yùn)算部件,移位器設(shè)計(jì)、微程序控制的運(yùn)算器設(shè)計(jì)、微程序控制的存儲(chǔ)器設(shè)計(jì)、簡(jiǎn)單計(jì)算機(jī)的設(shè)計(jì),從而鞏固課堂知識(shí)、深化學(xué)習(xí)內(nèi)容、完成教學(xué)大綱要求,學(xué)好計(jì)算機(jī)科學(xué)與技術(shù)專(zhuān)業(yè)的專(zhuān)業(yè)基礎(chǔ)課。

      二、實(shí)習(xí)教學(xué)的基本要求

      1、參加課程設(shè)計(jì)的學(xué)生必須服從指導(dǎo)教師的指導(dǎo),遵守紀(jì)律,按時(shí)、按指導(dǎo)教師的要求獨(dú)立完成課程設(shè)計(jì)的任務(wù)。

      2、每次設(shè)計(jì)指導(dǎo)教師必須指導(dǎo)學(xué)生,并嚴(yán)格考勤。

      三、實(shí)習(xí)內(nèi)容

      1)實(shí)習(xí)地點(diǎn):本課程設(shè)計(jì)的實(shí)習(xí)地點(diǎn)為計(jì)算機(jī)硬件基礎(chǔ)實(shí)驗(yàn)室。2)實(shí)習(xí)內(nèi)容:

      1:ISPEXPERT SYSTEM的使用:學(xué)會(huì)ISPEXPERT SYSTEM軟件的使用,然后利用此系統(tǒng)完成:一位全加器設(shè)計(jì)、并行八位寄存器設(shè)計(jì),并形成.JED文件,下載到實(shí)驗(yàn)箱上,在實(shí)驗(yàn)箱上用開(kāi)關(guān)驗(yàn)證。

      2:層次化設(shè)計(jì)方法:學(xué)會(huì)層次化設(shè)計(jì)方法,利用該方法完成:同步二進(jìn)制計(jì)數(shù)器、多位二進(jìn)制加法器,并形成.JED文件,下載到實(shí)驗(yàn)箱上,在實(shí)驗(yàn)箱上用開(kāi)關(guān)驗(yàn)證

      3:多路開(kāi)關(guān),邏輯運(yùn)算部件,移位器設(shè)計(jì):完成多路開(kāi)關(guān)、邏輯運(yùn)算部件,移位器設(shè)計(jì)為下面的運(yùn)算器、存儲(chǔ)器設(shè)計(jì)做好準(zhǔn)備。

      4:微程序控制的運(yùn)算器設(shè)計(jì):按要求設(shè)計(jì)一個(gè)微程序控制的運(yùn)算器,輸入取數(shù)及運(yùn)算指令,單步執(zhí)行指令,得出結(jié)果。

      5:微程序控制的存儲(chǔ)器設(shè)計(jì):按要求設(shè)計(jì)一個(gè)微程序控制的存儲(chǔ)器,按要求進(jìn)行操作,將數(shù)據(jù)存入指定單元,并將制定單元中的內(nèi)容讀出送寄存器。

      6:簡(jiǎn)單計(jì)算機(jī)的設(shè)計(jì):按要求設(shè)計(jì)一臺(tái)由簡(jiǎn)單指令作為指令集的計(jì)算機(jī),并用該簡(jiǎn)單指令編寫(xiě)一段程序,運(yùn)行該程序,得出正確結(jié)果。3)課程設(shè)計(jì)時(shí)間安排

      課程設(shè)計(jì)的時(shí)間歷經(jīng)3周,大致安排如下: 第一周:第一、第二個(gè)設(shè)計(jì)。第二周:第三、第四個(gè)設(shè)計(jì)。第三周:第五、第六個(gè)設(shè)計(jì)。

      每完成一個(gè)設(shè)計(jì),學(xué)生應(yīng)及時(shí)提交報(bào)告。

      四、考核辦法

      同學(xué)們?cè)趯?shí)驗(yàn)前應(yīng)該認(rèn)真準(zhǔn)備實(shí)驗(yàn),根據(jù)實(shí)驗(yàn)講義和課堂上學(xué)到的知識(shí)寫(xiě)出實(shí)驗(yàn)報(bào)告,帶到實(shí)驗(yàn)現(xiàn)場(chǎng)。

      1、ISPEXPERT SYSTEM的使用

      在本次實(shí)驗(yàn)中,學(xué)會(huì)ISPEXPERT SYSTEM軟件的使用,然后利用此系統(tǒng)完成: 〈1〉 一位全加器設(shè)計(jì)〈2〉 并行八位寄存器設(shè)計(jì)

      并形成.JED文件,下載到實(shí)驗(yàn)箱上,在實(shí)驗(yàn)箱上用開(kāi)關(guān)驗(yàn)證。評(píng)分細(xì)則:參加實(shí)驗(yàn): 0.2分

      完成實(shí)驗(yàn)報(bào)告: 0.2分

      完成一位全加器設(shè)計(jì): 0.3分

      完成八位并行寄存器設(shè)計(jì):0.3分

      2、層次化設(shè)計(jì)方法

      在本次實(shí)驗(yàn)中,學(xué)會(huì)層次化設(shè)計(jì)方法,利用該方法完成: 〈1〉同步二進(jìn)制計(jì)數(shù)器〈2〉多位二進(jìn)制加法器

      并形成.JED文件,下載到實(shí)驗(yàn)箱上,在實(shí)驗(yàn)箱上用開(kāi)關(guān)驗(yàn)證 評(píng)分細(xì)則:參加實(shí)驗(yàn): 0.2分

      完成實(shí)驗(yàn)報(bào)告: 0.2分

      完成同步二進(jìn)制計(jì)數(shù)器 0.3分

      完成多位二進(jìn)制加法器 0.3分

      3、多路開(kāi)關(guān),邏輯運(yùn)算部件,移位器設(shè)計(jì)

      在本次實(shí)驗(yàn)中,完成多路開(kāi)關(guān)、邏輯運(yùn)算部件,移位器設(shè)計(jì)為下面的運(yùn)算器、存儲(chǔ)器設(shè)計(jì)做好準(zhǔn)備。

      評(píng)分細(xì)則:參加實(shí)驗(yàn): 0.2分 完成實(shí)驗(yàn)報(bào)告: 0.2分

      完成多路開(kāi)關(guān) 0.2分

      完成邏輯運(yùn)算部件 0.2分

      完成移位器 0.2分

      4、微程序控制的運(yùn)算器設(shè)計(jì)

      按要求設(shè)計(jì)一個(gè)微程序控制的運(yùn)算器,輸入取數(shù)及運(yùn)算指令,單步執(zhí)行指令,得出結(jié)果。

      評(píng)分細(xì)則:參加實(shí)驗(yàn): 0.3分

      完成實(shí)驗(yàn)報(bào)告: 0.3分

      完成所要求的運(yùn)算器設(shè)計(jì) 0.45分

      正確進(jìn)行操作并回答問(wèn)題 0.45分

      5、微程序控制的存儲(chǔ)器設(shè)計(jì)

      按按要求設(shè)計(jì)一個(gè)微程序控制的存儲(chǔ)器,按要求進(jìn)行操作,將數(shù)據(jù)存入指定單元,并將制定單元中的內(nèi)容讀出送寄存器。

      評(píng)分細(xì)則:參加實(shí)驗(yàn): 0.3分

      完成實(shí)驗(yàn)報(bào)告: 0.3分

      完成所要求的存儲(chǔ)器設(shè)計(jì) 0.45分 正確進(jìn)行操作并回答問(wèn)題 0.45分

      6、簡(jiǎn)單計(jì)算機(jī)的設(shè)計(jì)

      按要求設(shè)計(jì)一臺(tái)由簡(jiǎn)單指令作為指令集的計(jì)算機(jī),并用該簡(jiǎn)單指令編寫(xiě)一段程序,運(yùn)行該程序,得出正確結(jié)果。

      評(píng)分細(xì)則:參加實(shí)驗(yàn): 0.4分 完成實(shí)驗(yàn)報(bào)告: 0.4分

      完成所要求的簡(jiǎn)單計(jì)算機(jī)設(shè)計(jì) 0.6分

      正確進(jìn)行操作并回答問(wèn)題 0.6分 實(shí)驗(yàn)結(jié)束由實(shí)驗(yàn)指導(dǎo)教師根據(jù)實(shí)驗(yàn)記錄,算出成績(jī)。

      4.8分~5.5分 及格 5.6分~6.3分 中等 6.4分~7.1分 良好 7.2分以上 優(yōu)秀

      五、主要參考書(shū):計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書(shū)

      六、實(shí)習(xí)教學(xué)建議:

      執(zhí)筆人:王海瑞 審定人:雷金輝 教學(xué)基層組織主任:雷金輝

      第五篇:_計(jì)算機(jī)組成原理實(shí)驗(yàn)2

      計(jì)算機(jī)組成原理實(shí)驗(yàn)日志

      實(shí)驗(yàn)題目:

      進(jìn)位、移位控制實(shí)驗(yàn)

      實(shí)驗(yàn)?zāi)康模?/p>

      (1)了解帶進(jìn)位控制的運(yùn)算器的組成結(jié)構(gòu);(2)驗(yàn)證帶進(jìn)位控制的運(yùn)算器的功能。(3)了解移位發(fā)生器74LS299的功能;(4)驗(yàn)證移位控制電路的組合功能。實(shí)驗(yàn)主要步驟:

      一、進(jìn)位

      (1)按圖1.2-2連接實(shí)驗(yàn)電路并檢查無(wú)誤。(2)打開(kāi)電源開(kāi)關(guān)。

      (3)用輸入開(kāi)關(guān)向暫存器DR1和DR2置數(shù),方法同前。

      (4)關(guān)閉數(shù)據(jù)輸入三態(tài)門(mén)(SW-B=1),打開(kāi)ALU輸出三態(tài)門(mén)(ALU-B=0),并使LDDR1=0、LDDR2=0,關(guān)閉寄存器打入控制門(mén)。

      (5)對(duì)進(jìn)位標(biāo)志清零。實(shí)驗(yàn)板上“SWITCH UNIT”單元中的CLR開(kāi)關(guān)為標(biāo)志CY、ZI的清零開(kāi)關(guān),它為零狀態(tài)時(shí)是清零狀態(tài),所以將此開(kāi)關(guān)做1→0→1操作,即可使標(biāo)志位清零。

      注意:進(jìn)位標(biāo)志指示燈CY亮?xí)r表示進(jìn)位標(biāo)志為“0”,無(wú)進(jìn)位;標(biāo)志指示燈CY滅時(shí)表示進(jìn)位為“1”,有進(jìn)位。

      圖1.2-1 帶進(jìn)位運(yùn)算器通路圖

      圖1.2-2 帶進(jìn)位運(yùn)算實(shí)驗(yàn)接線(xiàn)圖

      (6)驗(yàn)證帶進(jìn)位運(yùn)算及進(jìn)位鎖存功能。使Cn=1,AR=0,進(jìn)行帶進(jìn)位算術(shù)運(yùn)算。例如,做加法運(yùn)算,使ALU-B=0,S3、S2、S1、S0、M的狀態(tài)為1、0、0、1、0,此時(shí)數(shù)據(jù)總線(xiàn)上顯示的數(shù)據(jù)為DR1加DR2加當(dāng)前進(jìn)位標(biāo)志的和,但這時(shí)的進(jìn)位狀態(tài)位還沒(méi)有打入進(jìn)位鎖存器中,(它是要靠T4節(jié)拍來(lái)打入的。)這個(gè)結(jié)果是否有進(jìn)位產(chǎn)生,則要按動(dòng)微動(dòng)開(kāi)關(guān)KK2,若進(jìn)位標(biāo)志燈亮,則無(wú)進(jìn)位,反之則有進(jìn)位。因?yàn)樽黾臃ㄟ\(yùn)算時(shí)數(shù)據(jù)總線(xiàn)一直顯示的數(shù)據(jù)為DR1+DR2+CY,所以當(dāng)有進(jìn)位輸入到進(jìn)位鎖存器時(shí),總線(xiàn)顯示的數(shù)據(jù)將為加上當(dāng)前進(jìn)位鎖存器中鎖存的進(jìn)位的結(jié)果。

      二、移位

      (1)按圖1.3-2連接實(shí)驗(yàn)電路并檢查無(wú)誤。(2)打開(kāi)電源開(kāi)關(guān)。(3)向移位寄存器置數(shù)。

      ①撥動(dòng)輸入開(kāi)關(guān),形成二進(jìn)制數(shù)01101011(或其它數(shù)值)。

      ②使SWITCH UNIT單元中的開(kāi)關(guān)SW-B=0,打開(kāi)數(shù)據(jù)輸入三態(tài)門(mén)。③使S0=

      1、S1=1,并按動(dòng)微動(dòng)開(kāi)關(guān)KK2,則將二進(jìn)制數(shù)01101011置入了移位寄存器。

      ④使SW-B=1,關(guān)閉數(shù)據(jù)輸入三態(tài)門(mén)。(4)移位運(yùn)算操作。

      ①參照表1.3-1中的內(nèi)容,先將S1、S0置為0、0,檢查移位寄存器單元裝入的數(shù)是否正確,然后通過(guò)改變S0、S1、M、299-B的狀態(tài),并按動(dòng)微動(dòng)開(kāi)關(guān)KK2,觀察移位結(jié)果。

      ②根據(jù)移位控制電路功能表1.3-1中的內(nèi)容,分析移位運(yùn)算的結(jié)果是否正確。

      圖1.3-2 移位運(yùn)算實(shí)驗(yàn)接線(xiàn)圖

      實(shí)驗(yàn)結(jié)果: 一.進(jìn)位

      向DR1中置入80H 向DR2中置入 80H CY初始位置為亮 0 加法完成后 CY為滅總線(xiàn)顯示01H 二.移位

      輸入00011000 移位后 00110000 心得體會(huì):

      通過(guò)本次實(shí)驗(yàn)了解了的帶進(jìn)位的加法和移位器的原理。

      下載《計(jì)算機(jī)組成原理實(shí)驗(yàn)》教學(xué)大綱(信息)[五篇模版]word格式文檔
      下載《計(jì)算機(jī)組成原理實(shí)驗(yàn)》教學(xué)大綱(信息)[五篇模版].doc
      將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
      點(diǎn)此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶(hù)自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦

        _計(jì)算機(jī)組成原理實(shí)驗(yàn)3

        計(jì)算機(jī)組成原理實(shí)驗(yàn)日志3 實(shí)驗(yàn)題目: 靜態(tài)隨機(jī)存儲(chǔ)器實(shí)驗(yàn) 實(shí)驗(yàn)?zāi)康模?掌握靜態(tài)隨機(jī)存儲(chǔ)器RAM工作特性及數(shù)據(jù)的讀/寫(xiě)方法。 實(shí)驗(yàn)主要步驟: 形成時(shí)鐘脈沖信號(hào)T3。具體接線(xiàn)方法和......

        計(jì)算機(jī)組成原理實(shí)驗(yàn)(存儲(chǔ)器)

        實(shí)驗(yàn)3 半導(dǎo)體存儲(chǔ)器原理實(shí)驗(yàn) (一)、實(shí)驗(yàn)?zāi)康?(1)熟悉靜態(tài)隨機(jī)存儲(chǔ)器RAM和只讀存儲(chǔ)器ROM的工作特性和使用方法; (2)熟悉半導(dǎo)體存儲(chǔ)器存儲(chǔ)和讀出數(shù)據(jù)的過(guò)程; (3)了解使用半導(dǎo)體存儲(chǔ)器電......

        《計(jì)算機(jī)組成原理》課程設(shè)計(jì)教學(xué)大綱[推薦5篇]

        《計(jì)算機(jī)組成原理》課程設(shè)計(jì)教學(xué)大綱 課程編號(hào): 學(xué)時(shí)/學(xué)分:1周/1 授課單位:電子與信息工程學(xué)院計(jì)算機(jī)科學(xué)系 適用專(zhuān)業(yè):計(jì)算機(jī)科學(xué)與技術(shù) 教材及主要參考資料: 《計(jì)算機(jī)組成與系統(tǒng)......

        《計(jì)算機(jī)組成原理課程設(shè)計(jì)》教學(xué)大綱[五篇范文]

        《計(jì)算機(jī)組成原理課程設(shè)計(jì)》教學(xué)大綱 設(shè)計(jì)周數(shù):3周 學(xué)分:3 學(xué)分 適用專(zhuān)業(yè):計(jì)算機(jī)科學(xué)與技術(shù) 預(yù)修課程:數(shù)字邏輯,數(shù)字邏輯課程設(shè)計(jì),計(jì)算機(jī)組成原理 一、設(shè)計(jì)的目的及要求: 本課程設(shè)......

        計(jì)算機(jī)組成原理課程教學(xué)大綱20100902

        《計(jì)算機(jī)組成原理》課程教學(xué)大綱 Computer Organization and Architecture 課程代碼:24100747 課程性質(zhì):專(zhuān)業(yè)基礎(chǔ)理論課,必修 適用專(zhuān)業(yè):計(jì)算機(jī)科學(xué)與技術(shù)軟件工程、網(wǎng)絡(luò)工程總......

        《計(jì)算機(jī)組成原理》教學(xué)大綱要點(diǎn)(合集五篇)

        《計(jì)算機(jī)組成原理》教學(xué)大綱 本門(mén)課程的教學(xué)目標(biāo)和要求: 《計(jì)算機(jī)組成原理》是計(jì)算機(jī)科學(xué)與技術(shù)專(zhuān)業(yè)的一門(mén)核心專(zhuān)業(yè)基礎(chǔ)課。通過(guò)本課程的學(xué)習(xí),使學(xué)生掌握計(jì)算機(jī)系統(tǒng)的基本組成......

        計(jì)算機(jī)組成原理

        《計(jì)算機(jī)組成原理》實(shí)驗(yàn)任務(wù)計(jì) 識(shí)。 算機(jī)原理是計(jì)算機(jī)科學(xué)與技術(shù)及相關(guān)專(zhuān)業(yè)的一門(mén)專(zhuān)業(yè)基礎(chǔ)課,是一門(mén)重點(diǎn)科,在計(jì)算機(jī)硬件的各個(gè)領(lǐng)域中運(yùn)會(huì)用到計(jì)算計(jì)原理的有關(guān)知 本實(shí)驗(yàn)課程......

        計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告+++數(shù)據(jù)通路實(shí)驗(yàn)

        數(shù)據(jù)通路組成實(shí)驗(yàn) 一、實(shí)驗(yàn)?zāi)康? (1)將雙端口通用寄存器組和雙端口存儲(chǔ)器模塊聯(lián)機(jī);(2)進(jìn)一步熟悉計(jì)算機(jī)的數(shù)據(jù)通路; (3)掌握數(shù)字邏輯電路中故障的一般規(guī)律,以及排除故障的一般原則和方......