欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      基于DDS芯片AD9850的全數(shù)控函數(shù)信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

      時(shí)間:2019-05-14 02:20:35下載本文作者:會員上傳
      簡介:寫寫幫文庫小編為你整理了多篇相關(guān)的《基于DDS芯片AD9850的全數(shù)控函數(shù)信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)》,但愿對你工作學(xué)習(xí)有幫助,當(dāng)然你在寫寫幫文庫還可以找到更多《基于DDS芯片AD9850的全數(shù)控函數(shù)信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)》。

      第一篇:基于DDS芯片AD9850的全數(shù)控函數(shù)信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

      基于DDS芯片AD9850的全數(shù)控函數(shù)信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

      龍安國

      (永州職業(yè)技術(shù)學(xué)院,湖南 永州 425006)0 引言

      http://004km.cn/xsj07/xsj091134.asp 信號源是電子產(chǎn)品測量與調(diào)試、部隊(duì)設(shè)備技術(shù)保障等領(lǐng)域的基本電子設(shè)備。隨著科學(xué)技術(shù)的發(fā)展和測量技術(shù)的進(jìn)步,普通的信號發(fā)生器已無法滿足目前日益發(fā)展的電子技術(shù)領(lǐng)域的生產(chǎn)調(diào)試需要。而DDS技術(shù)是一種新興的直接數(shù)字頻率合成技術(shù),具有頻率分辨率高、頻率切換速度快、切換相位連續(xù)、輸出信號相位噪聲低、可編程、全數(shù)字化易于集成、體積小、重量輕等優(yōu)點(diǎn),因而在雷達(dá)及通信等領(lǐng)域具有廣泛的應(yīng)用前景。

      1系統(tǒng)設(shè)計(jì)方案

      本文提出的采用DDS作為信號發(fā)生核心器件的全數(shù)控函數(shù)信號發(fā)生器設(shè)計(jì)方案,根據(jù)輸出信號波形類型可設(shè)置、輸出信號幅度和頻率可數(shù)控、輸出頻率寬等要求,選用了美國A/D公司的AD9850芯片,并通過單片機(jī)程序控制和處理AD9850的32位頻率控制字,再經(jīng)放大后加至以數(shù)字電位器為核心的數(shù)字衰減網(wǎng)絡(luò),從而實(shí)現(xiàn)了信號幅度、頻率、類型以及輸出等選項(xiàng)的全數(shù)字控制。該函數(shù)信號發(fā)生器的結(jié)構(gòu)如圖1所示。

      本系統(tǒng)主要由單片機(jī)、DDS直接頻率信號合成器、數(shù)字衰減電路、真有效值轉(zhuǎn)換模塊、A/D轉(zhuǎn)換模塊、數(shù)字積分選擇電路等部分組成。DDS的基本原理

      直接數(shù)字頻率合成器(Derect Digital Synthesizer)是從相位概念出發(fā)直接合成所需波形的一種頻率合成技術(shù)。一個(gè)直接數(shù)字頻率合成器通常由相位累加器、加法器、波形存儲ROM、D/A轉(zhuǎn)換器和低通濾波器(LPF)組成。DDS的組成結(jié)構(gòu)如圖2所示。其中,K為頻率控制字(也叫相位增量),P為相位控制字,W為波形控制字,fc為參考時(shí)鐘頻率,N為相位累加器的字長,D為ROM數(shù)據(jù)位及D/A轉(zhuǎn)換器的字長。相位累加器在時(shí)鐘fc的控制下以步長K累加,輸出的N位二進(jìn)制碼與相位控制字P、波形控制字W相加后作為波形ROM的地址來對波形ROM進(jìn)行尋址,波形ROM輸出的D位幅度碼S(n)經(jīng)D/A轉(zhuǎn)換變成階梯波S(t)后,再經(jīng)過低通濾波器平滑,就可以得到合成的信號波形。由于合成的信號波形取決于波形ROM中存放的幅度碼,因此,用DDS可以合成任意波形。

      3硬件電路設(shè)計(jì)

      3.1 DDS信號產(chǎn)生電路

      考慮到DDS具有頻率分辨率較高、頻率切換速度快、切換相位連續(xù)、輸出信號相位噪聲低、可編程、全數(shù)字化、易于集成、體積小、重量輕等優(yōu)點(diǎn),該方案選用美國A/D公司的AD9850芯片,并采用單片機(jī)為核心控制器件來對DDS輸送頻率控制字,從而使DDS輸出相應(yīng)頻率和類型的信號,其DDS信號產(chǎn)生電路如圖3所示。

      3硬件電路設(shè)計(jì)

      3.1 DDS信號產(chǎn)生電路

      考慮到DDS具有頻率分辨率較高、頻率切換速度快、切換相位連續(xù)、輸出信號相位噪聲低、可編程、全數(shù)字化、易于集成、體積小、重量輕等優(yōu)點(diǎn),該方案選用美國A/D公司的AD9850芯片,并采用單片機(jī)為核心控制器件來對DDS輸送頻率控制字,從而使DDS輸出相應(yīng)頻率和類型的信號,其DDS信號產(chǎn)生電路如圖3所示。

      單片機(jī)與AD9850的接口既可采用并行方式,也可采用串行方式。為了充分發(fā)揮芯片的高速性能和節(jié)約單片機(jī)資源,本設(shè)計(jì)選擇并行方式將AT89S52的P0口經(jīng)74HC373鎖存器擴(kuò)展后接至DDS的并行輸入控制端(D0~D7)。AD9850外接120 MHz的有源晶振,產(chǎn)生的正弦信號經(jīng)低通濾波器(LPF)去掉高頻諧波后即可得到波形良好的模擬信號。這樣,將D/A轉(zhuǎn)換器的輸出信號經(jīng)低通濾波后,接到AD9850內(nèi)部的高速比較器上,即可直接輸出一個(gè)抖動很小的方波。再將方波信號加至積分電路,即可得到三角波信號。另外,也可通過鍵盤編輯任意波形的輸出信號。

      3.2鍵盤輸入接口及LCD接口電路

      本系統(tǒng)中的數(shù)字輸入設(shè)置電路采用2×8矩陣鍵盤。由于LCD具有顯示內(nèi)容多,電路結(jié)構(gòu)簡單,占用單片機(jī)資源少等優(yōu)點(diǎn),本系統(tǒng)采用RT1602C型LCD液晶顯示屏來顯示信號的類型、頻率大小和正弦波的峰一峰值,圖4所示是鍵盤輸入及LCD接口電路圖。

      同樣,考慮到AT89S52單片機(jī)的IO引腳資源有限,本系統(tǒng)的鍵盤輸入及LCD輸出均通過74HC245連接到AT89S52單片機(jī)的P0端口,從而實(shí)現(xiàn)端口擴(kuò)展和復(fù)用。

      3.3信號幅度數(shù)控預(yù)置電路

      為了實(shí)現(xiàn)對輸出的正弦模擬信號幅度的數(shù)字控制和預(yù)置,本系統(tǒng)采用了AD811高速運(yùn)放、數(shù)字電位器衰減、真有效值轉(zhuǎn)換、以及A/D轉(zhuǎn)換等電路,具體電路圖如圖5所示。

      數(shù)字電位器X9C102是實(shí)現(xiàn)信號幅度數(shù)字可調(diào)的關(guān)鍵器件。真有效值轉(zhuǎn)換模塊AD637主要負(fù)責(zé)信號的TRMS/DC轉(zhuǎn)換,然后經(jīng)TLC2453模數(shù)轉(zhuǎn)換向單片機(jī)輸送正比于正弦波信號幅度的數(shù)字量,以便單片機(jī)輸出合適的幅值控制指令。

      3.4積分電容自動切換控制電路

      三角波是常用信號之一,本系統(tǒng)采用RC積分電路將方波信號轉(zhuǎn)換成三角波。由于信號頻率很寬(低頻達(dá)1 Hz以下,高頻達(dá)60 MHz以上),為了完成不同頻段的線性積分,需要不同的積分電容(10pF、100pF、1 nF、10nF、100nF、1 μF、10 μF、100μF)。基于數(shù)控和自動切換的需要,本系統(tǒng)采用如圖6所示的CD4051八選一電路。

      CD4051的八選一控制信號來源于AT89S52的P0~P3接口,74HC373P也是考慮復(fù)用P0端口而設(shè)置的。AD9850輸出的方波經(jīng)積分電路轉(zhuǎn)換為三角波后,經(jīng)AD811高速運(yùn)放可提高其負(fù)載能力。

      4系統(tǒng)軟件設(shè)計(jì)

      4.1 主程序

      主程序可控制整個(gè)系統(tǒng),包括控制系統(tǒng)的初始化、顯示、運(yùn)算、鍵盤掃描、頻率控制、幅度控制等子程序,其主程序流程如圖7所示。

      初始化可將系統(tǒng)設(shè)定為默認(rèn)工作狀態(tài),然后通過掃描鍵盤來判斷是否有按鍵按下以確定用戶要執(zhí)行的任務(wù),同時(shí)通過判斷23H.4、20H.1、20H.0各功能標(biāo)志位來確定應(yīng)完成的功能。當(dāng)23H.4=1時(shí),計(jì)算頻率值系統(tǒng)工作在頻率計(jì)方式下;當(dāng)20H.1=1時(shí),檢測峰峰值系統(tǒng)將檢測輸出信號的峰峰值:而當(dāng)20H.0=1時(shí).則更新LCD顯示內(nèi)容,當(dāng)執(zhí)行完后返回鍵盤掃描程序并以此循環(huán)。各功能標(biāo)志位均由鍵盤、峰峰值檢測和定時(shí)程序等控制,從而實(shí)現(xiàn)各種功能。

      4.2鍵盤掃描子程序

      鍵盤掃描子程序如圖8所示。因按鍵較多。本系統(tǒng)采用2×8行列式鍵盤來節(jié)約I/O口,并用程序把8根列線全部拉低,再判斷2根行線是否有低電平,如果沒有,說明沒有按鍵被按下,系統(tǒng)則退出鍵盤掃描程序,否則,依次拉低列線,然后依次判斷行線是否有低電平并判斷鍵號,鍵號確定后再轉(zhuǎn)到鍵號相對應(yīng)的功能程序去執(zhí)行。鍵盤主要方便用戶設(shè)置頻率、幅度、選擇工作方式等功能。

      4.3 信號頻率數(shù)字預(yù)置子程序

      信號頻率的數(shù)字控制程序流程如圖9所示。該部分程序主要用于將鍵盤輸入值轉(zhuǎn)換成十六進(jìn)制數(shù)據(jù),然后產(chǎn)生相應(yīng)的頻率控制字并送至DDS芯片,以改變DDS的相位增量,最終輸出相應(yīng)頻率信號。結(jié)束語

      通過嚴(yán)格的實(shí)驗(yàn)測試證明,本系統(tǒng)采用DDS完全可以實(shí)現(xiàn)輸出信號類型的選擇設(shè)置、信號頻率數(shù)字預(yù)置、信號幅度數(shù)字步進(jìn)可調(diào)等功能,是一種輸出信號頻率覆蓋寬(0.023 Hz~40 MHz)、信號源分辨率高、波形失真小、全數(shù)控型函數(shù)信號發(fā)生器。具有一定的實(shí)用開發(fā)價(jià)值。

      第二篇:DDS函數(shù)信號發(fā)生器的設(shè)計(jì)

      DDS函數(shù)信號發(fā)生器的設(shè)計(jì)、仿真及下載

      一、實(shí)驗(yàn)設(shè)計(jì)

      ① 利用DDS(Direct DIgital Frequency Synthesis,即直接數(shù)字頻率合成)技術(shù)產(chǎn)生穩(wěn)定的正弦波,三角波和方波輸出,輸出頻率為10~1000kHz且頻率可調(diào),步進(jìn)為10Hz,1kHz,10kHz,100kHz。

      ② 用VerilogHDL進(jìn)行建模和模擬仿真,再利用FPGA進(jìn)行實(shí)現(xiàn)D/A轉(zhuǎn)換。

      ③ 下載到DE0板上利用VGA端口的一個(gè)四位孔進(jìn)行A/D轉(zhuǎn)換顯示在示波器上。

      二、實(shí)驗(yàn)原理

      一個(gè)直接數(shù)字頻率合成器由相位累加器、波形ROM、D/A轉(zhuǎn)換器和低通濾波器構(gòu)成。DDS的原理框圖如下所示:

      圖 1 直接數(shù)字頻率合成器原理圖

      其中K為頻率控制字,fc為時(shí)鐘頻率,N為相位累加器的字長,D為ROM數(shù)據(jù)位及D/A轉(zhuǎn)換器的字長。相位累加器在時(shí)鐘 fc的控制下以步長K作為累加,輸出N位二進(jìn)制碼作為波形ROM的地址,對波形ROM進(jìn)行尋址,波形ROM輸出的幅碼S(n)經(jīng)D/A轉(zhuǎn)換器變成梯形波S(t),再經(jīng)低通濾波器平滑后就可以得到合成的信號波形了。合成的信號波形形狀取決于波形ROM中存放的幅碼,因此用DDS可以產(chǎn)生任意波形。【來自百度】

      本設(shè)計(jì)中直接利用DE0開發(fā)板通過D/A轉(zhuǎn)換得到輸出波形,省略了低通濾波器這一環(huán)節(jié)。

      DDS工作流程示意圖:

      DDS的具體實(shí)現(xiàn)框圖:

      三、實(shí)驗(yàn)內(nèi)容:

      1、相位累加器和數(shù)據(jù)鎖存器的設(shè)計(jì)

      本設(shè)計(jì)采用模24的二進(jìn)制累加器和寄存器,其中累加器和寄存器在一個(gè)模塊中,只取鎖存數(shù)據(jù)的高十位作為查表的地址值。//地址計(jì)數(shù)器模塊;

      module counter(clk,fre_word,address);input clk;

      //聲明系統(tǒng)時(shí)鐘為clk

      input [23:0] fre_word;

      //聲明24為頻率控制字

      output reg [9:0] address;

      reg [23:0] phaseadder;always @(posedge clk)begin

      phaseadder=phaseadder+fre_word;address=phaseadder[23:14];//地址取輸出鎖存后十位(對應(yīng)波形為一個(gè)周期取1024點(diǎn))

      end endmodule2、波形存儲器設(shè)計(jì)

      本設(shè)計(jì)要求DDS系統(tǒng)能輸出方波、三角波及正弦波三種波形。可以調(diào)用FPGA的LPM_ROM模塊制作三張ROM表,地址計(jì)數(shù)器可以同時(shí)訪問這三張表,再使用數(shù)據(jù)選擇器輸出指定波形。實(shí)際上,方波,三角波的實(shí)現(xiàn)算法比較簡單,所以只把正弦波的算法用ROM實(shí)現(xiàn)。//方波產(chǎn)生模塊

      module squwave(clk,address,qsquare);

      input clk;

      //系統(tǒng)時(shí)鐘;input [9:0] address;

      //10位地址輸入信號;

      output reg [7:0] qsquare;//輸出方波幅度信號8位寬送至DAC

      always @(posedge clk)

      if(address<=10'b01_1111_1111)qsquare[7:0]=8'b1111_1111;else qsquare[7:0]=8'b0000_0000;endmodule

      //三角波產(chǎn)生模塊

      module triawave(clk,address,qtriangle);input clk;

      input [9:0] address;output reg [7:0] qtriangle;always @(posedge clk)begin if(address<=10'b01_1111_1111)qtriangle[7:0]=address[8:1];else qtriangle[7:0]=~address[8:1];end endmodule

      //正弦波形文件制作(C語言)#include #include void main(){ int s;int i;FILE* fp;fp=fopen(“1024.mif”,“w+”);fprintf(fp,“--MAX+plusII-generated Memory Initialization Filen”);fprintf(fp,“--By 00022809nnnnn”);fprintf(fp,“WIDTH=8;nn”);fprintf(fp,“DEPTH=1024;nn”);fprintf(fp,“ADDRESS_RADIX=HEX;nn”);fprintf(fp,“DATA_RADIX=HEX;nn”);fprintf(fp,“CONTENT BEGINn”);for(i=0;i<1024;i++){

      s=128+sin(atan(1.0)*8/1024*i)*127;

      fprintf(fp,“%xt:t%x;n”);} fprintf(fp,“END;n”);fclose(fp);} 生成mif格式文件,然后可以調(diào)用LPM_ROM模塊實(shí)現(xiàn)正弦波產(chǎn)生模塊。

      3、波形的綜合輸出

      DDS系統(tǒng)中產(chǎn)生了三種波形,但是在每種情況下只輸出一種波形,因此要設(shè)計(jì)一個(gè)多路選擇器完成這種功能。輸出何種波形由外部開關(guān)控制。//boxing.v module boxing(clk,address,wavemode,wavevalue);

      input clk;

      input [1:0] wavemode;

      //波形模式信號

      input [9:0] address;

      //十位地址輸入信號

      output [7:0] wavevalue;

      //對應(yīng)不同的波形輸出

      reg [7:0] wavevalue;wire [7:0] q,qsquare,qtriangle;sinwave a(address,clk,q);

      //調(diào)用正弦波產(chǎn)生模塊

      squwave b(clk,address,qsquare);//調(diào)用方波產(chǎn)生模塊

      triawave c(clk,address,qtriangle);//調(diào)用三角波產(chǎn)生模塊 always @(posedge clk)case(wavemode)2'b01:wavevalue=q;//01代表正弦波

      2'b10:wavevalue=qsquare;

      //10代表方波

      2'b11:wavevalue=qtriangle;

      //11代表三角波

      endcase endmodule

      4、工作模式控制模塊的設(shè)計(jì)

      本設(shè)計(jì)包括了開關(guān)模塊和工作狀態(tài)轉(zhuǎn)換模塊。先將開關(guān)調(diào)好狀態(tài),每按一次鍵程序根據(jù)所選狀態(tài)進(jìn)行工作。(由于DE0板上時(shí)鐘為50MHz則變化較快,所以增加一個(gè)控制鍵,工程模式狀態(tài)由此鍵控制。)//控制模塊

      module control(clk1,keyin,wavemode,length,single_state,single_frc);

      input [3:0] keyin;

      //四位狀態(tài)信號輸入

      input clk1;

      //按鍵控制狀態(tài)的輸入

      input [1:0]single_state;

      //輸入的波形模式信號

      input [1:0]single_frc;

      //頻率及步進(jìn)控制信號

      output reg [1:0] wavemode;

      //波形模式輸出信號

      output reg [23:0] length;

      //輸出波形控制字

      reg [3:0] key;

      always @(posedge clk1)

      begin

      key=keyin;case(key)4'b0001: begin wavemode=2'b01;length=24'd3;end

      4'b0010: begin case(single_state)

      2'b01:wavemode=2'b01;

      2'b10:wavemode=2'b10;

      2'b11:wavemode=2'b11;

      default: begin wavemode=2'b01;end

      endcase

      end

      4'b0100: begin case(single_frc)

      1:length=24'd3;

      //10~10kHz

      2:length=24'd3355;//10kHz~100kHz

      3:length=24'd33554;//100kHz~2000kHz

      default: begin length=24'd671088;end //大于2000kHz

      endcase

      end

      4'b1000: begin case(single_frc)

      0:length=length+24'd33554;

      //步進(jìn)為100kHz

      1:length=length+24'd3;

      //

      10Hz

      2:length=length+24'd336;

      //

      1kHz

      3:length=length+24'd3355;//

      10kHz

      endcase

      end

      endcase

      end

      endmodule

      5、DDS函數(shù)信號發(fā)生器頂層設(shè)計(jì)

      頂層文件是將上述幾個(gè)模塊聯(lián)系在一起就可以得到DDS核心實(shí)現(xiàn)模塊。//頂層文件

      module dds(clk,clk1,keyin,wavevalue,single_state,single_frc);input clk,clk1;

      //系統(tǒng)時(shí)鐘和控制按鍵

      input [3:0] keyin;

      //四位狀態(tài)輸入信號

      input [1:0]single_frc;

      //頻率及步進(jìn)輸入模式信號

      input [1:0]single_state;

      //波形選擇開關(guān)

      output [7:0] wavevalue;

      //輸出波形信號

      wire [9:0] address;

      wire [1:0] wavemode;wire [23:0] length;control u1(clk1,keyin,wavemode,length,single_state,single_frc);//調(diào)用其他三個(gè)模塊

      counter u2(clk,length,address);boxing u3(clk,address,wavemode,wavevalue);endmodule

      五、實(shí)驗(yàn)操作

      操作軟件QuartusII9.1及Modelsim,這兩種軟件的用法在此不再細(xì)談。下載后示波器顯示如下:

      六、實(shí)驗(yàn)總結(jié):

      做完這次實(shí)驗(yàn),我感覺DDS對于我們這些初學(xué)者來說一時(shí)很難接受,但是我們需要通過自己以及他人的見解來不斷消化知識,一點(diǎn)一點(diǎn)去理解。最后做出來波形的時(shí)候確實(shí)很高興,這是對我們這幾天的學(xué)習(xí)的肯定,以后還要不斷的去學(xué)習(xí)去理解FPGA以及VerilogHDL,堅(jiān)持不懈,不管它有多難。

      第三篇:函數(shù)信號發(fā)生器設(shè)計(jì)

      函數(shù)信號發(fā)生器設(shè)計(jì)設(shè)計(jì)任務(wù)與要求

      ⑴ 設(shè)計(jì)并制作能產(chǎn)生正弦波、矩形波(方波)和三角波(鋸齒波)的函數(shù)發(fā)生器,本信號發(fā)生器可以考慮用專用集成芯片(如5G8038等)為核心實(shí)現(xiàn)。⑵ 信號頻率范圍: 1Hz∽100kHz;

      ⑶ 頻率控制方式:

      ① 手控通過改變RC參數(shù)實(shí)現(xiàn);

      ② 鍵控通過改變控制電壓實(shí)現(xiàn);

      ③ 為能方便地實(shí)現(xiàn)頻率調(diào)節(jié),建議將頻率分檔;

      ⑷ 輸出波形要求

      ① 方波上升沿和下降沿時(shí)間不得超過200nS,占空比在48%∽50%之間;② 非線性誤差≤2%;

      ③ 正弦波諧波失真度≤2%;

      ⑸ 輸出信號幅度范圍:0∽20V;

      ⑹ 信號源輸出阻抗:≤1Ω;

      ⑺ 應(yīng)具有輸出過載保護(hù)功能;

      ⑻ 具有數(shù)字顯示輸出信號頻率和電壓幅值功能。

      第四篇:低頻函數(shù)信號發(fā)生器設(shè)計(jì)

      實(shí)驗(yàn)報(bào)告

      課程名稱:

      電子系統(tǒng)綜合設(shè)計(jì)

      指導(dǎo)老師:

      周箭

      成績:

      實(shí)驗(yàn)名稱:低頻函數(shù)信號發(fā)生器(預(yù)習(xí)報(bào)告)實(shí)驗(yàn)類型:

      同組學(xué)生姓名:

      一、課題名稱

      低頻函數(shù)信號發(fā)生器設(shè)計(jì)

      二、性能指標(biāo)

      (1)同時(shí)輸出三種波形:方波,三角波,正弦波;(2)頻率范圍:10Hz~10KHz;

      (3)頻率穩(wěn)定性:(4)頻率控制方式:

      ① 改變RC時(shí)間常數(shù);

      ; ② 改變控制電壓V1實(shí)現(xiàn)壓控頻率,常用于自控方式,即F=f(V1),(V1=1~10V); ③ 分為10Hz~100Hz,100Hz~1KHz,1KHz~10KHz三段控制。

      (5)波形精度:方波上升下降沿均小于2μs,三角波線性度δ/Vom<1%,正弦波失真度

      (6)輸出方式:

      a)做電壓源輸出時(shí)

      輸出電壓幅度連續(xù)可調(diào),最大輸出電壓不小于20V 負(fù)載RL=100Ω~1KΩ時(shí),輸出電壓相對變化率ΔVO/VO<1% b)做電流源輸出時(shí)

      輸出電流幅度連續(xù)可調(diào),最大輸出電流不小于200mA 負(fù)載RL=0Ω~90Ω時(shí),輸出電流相對變化率ΔIO/IO<1% c)做功率源輸出時(shí)

      最大輸出功率大于1W(RL=50Ω,VO>7V有效值)具有輸出過載保護(hù)功能

      三、方案設(shè)計(jì)

      根據(jù)實(shí)驗(yàn)任務(wù)的要求,對信號產(chǎn)生部分,一般可采用多種實(shí)現(xiàn)方案:如模擬電路實(shí)現(xiàn)方案、數(shù)字電路實(shí)現(xiàn)方案、模數(shù)結(jié)合的實(shí)現(xiàn)方案等。

      數(shù)字電路的實(shí)現(xiàn)方案

      一般可事先在存儲器里存儲好函數(shù)信號波形,再用D/A轉(zhuǎn)換器進(jìn)行逐點(diǎn)恢復(fù)。這種方案的波形精度主要取決于函數(shù)信號波形的存儲點(diǎn)數(shù)、D/A轉(zhuǎn)換器的轉(zhuǎn)換速度、以及整個(gè)電路的時(shí)序處理等。其信號頻率的高低,是通過改變D/A轉(zhuǎn)換器輸入數(shù)字量的速率來實(shí)現(xiàn)的。

      數(shù)字電路的實(shí)現(xiàn)方案在信號頻率較低時(shí),具有較好的波形質(zhì)量。隨著信號頻率的提高,需要提高數(shù)字量輸入的速率,或減少波形點(diǎn)數(shù)。波形點(diǎn)數(shù)的減少,將直接影響函數(shù)信號波形的質(zhì)量,而數(shù)字量輸入速率的提高也是有限的。因此,該方案比較適合低頻信號,而較難產(chǎn)生高頻(如>1MHz)信號。

      模數(shù)結(jié)合的實(shí)現(xiàn)方案

      一般是用模擬電路產(chǎn)生函數(shù)信號波形,而用數(shù)字方式改變信號的頻率和幅度。如采用D/A轉(zhuǎn)換器與壓控電路改變信號的頻率,用數(shù)控放大器或數(shù)控衰減器改變信號的幅度等,是一種常見的電路方式。

      模擬電路的實(shí)現(xiàn)方案

      是指全部采用模擬電路的方式,以實(shí)現(xiàn)信號產(chǎn)生電路的所有功能。由于教學(xué)安排及課程進(jìn)度的限制,本實(shí)驗(yàn)的信號產(chǎn)生電路,推薦采用全模擬電路的實(shí)現(xiàn)方案。

      模擬電路的實(shí)現(xiàn)方案有幾種:

      ①用正弦波發(fā)生器產(chǎn)生正弦波信號,然后用過零比較器產(chǎn)生方波,再經(jīng)過積分電路產(chǎn)生三角波。但要通過積分器電路產(chǎn)生同步的三角波信號,存在較大的難度。原因是積分電路的積分時(shí)間常數(shù)通常是不變的,而隨著方波信號頻率的改變,積分電路輸出的三角波幅度將同時(shí)改變。若要保持三角波輸出幅度不變,則必須同時(shí)改變積分時(shí)間常數(shù)的大小,要實(shí)現(xiàn)這種同時(shí)改變電路參數(shù)的要求,實(shí)際上是非常困難的。

      ② 由三角波、方波發(fā)生器產(chǎn)生三角波和方波信號,然后通過函數(shù)轉(zhuǎn)換電路,將三角波信號轉(zhuǎn)換成正弦波信號,該電路方式也是本實(shí)驗(yàn)信號產(chǎn)生部分的推薦方案。這種電路在一定的頻率范圍內(nèi),具有良好的三角波和方波信號。而正弦波信號的波形質(zhì)量,與函數(shù)轉(zhuǎn)換電路的形式有關(guān),這將在后面的單元電路分析中詳細(xì)介紹。

      四、單元電路分析

      1、三角波,方波發(fā)生器

      由于比較器+RC電路的輸出會導(dǎo)致VC線性度變差,故采用另一種比較器+積分器的方式

      積分器

      同相滯回比較器

      由積分器A1與滯回比較器A2等組成的三角波、方波發(fā)生器電路如圖所示。在一般使用情況下,V+1和V-2都接地。只有在方波的占空比不為50%,或三角波的正負(fù)幅度不對稱時(shí),可通過改變V+1和V-2的大小和方向加以調(diào)整。

      合上電源瞬間,假定比較器輸出為低電平,vO2=VOL=-VZ。積分器作正方向積分,vO1線性上升,vp隨著上升,當(dāng)vp>0時(shí),即vo1≥R2/R3*,比較器翻轉(zhuǎn)為高電平,vO2=VOH=+VZ。積分器又開始作負(fù)方向積分,vO1線性下降,vp隨著下降,當(dāng)vp<0時(shí),即vo1≥R2/R3*,比較器翻轉(zhuǎn)為低電平,vO2=VOH=-VZ。

      取C三種值:0.1uF 對應(yīng)10-100Hz; 0.01uF 對應(yīng)100-1kHz; 0.001uF 對應(yīng)1k-10kHz。調(diào)節(jié)R23的比值可調(diào)節(jié)幅度,再調(diào)節(jié)R,可調(diào)節(jié)頻率大小。

      2、正弦波轉(zhuǎn)換電路 常用方法有使用傅里葉展開的濾波法,使用冪級數(shù)展開的運(yùn)算法,和轉(zhuǎn)變傳輸比例的折線法。但前二者由于其固有的缺陷:使用頻率小,難以用電子電路實(shí)現(xiàn)的原因,在本實(shí)驗(yàn)中舍棄,而采取最普遍的折線法。

      折線法是一種使用最為普遍、實(shí)現(xiàn)也較簡單的正弦函數(shù)轉(zhuǎn)換方法。折線法的轉(zhuǎn)換原理是,根據(jù)輸入三角波的電壓幅度,不斷改變函數(shù)轉(zhuǎn)換電路的傳輸比率,也就是用多段折線組成的電壓傳輸特性,實(shí)現(xiàn)三角函數(shù)到正弦函數(shù)的逼近,輸出近似的正弦電壓波形。由于電子器件(如半導(dǎo)體二極管等)特性的理想性,使各段折線的交界處產(chǎn)生了鈍化效果。因此,用折線法實(shí)現(xiàn)的正弦函數(shù)轉(zhuǎn)換電路,實(shí)際效果往往要優(yōu)于理論分析結(jié)果。

      用折線法實(shí)現(xiàn)正弦函數(shù)的轉(zhuǎn)換,可采用無源和有源轉(zhuǎn)換電路形式。無源正弦函數(shù)轉(zhuǎn)換電路,是指僅使用二極管和電阻等組成的轉(zhuǎn)換電路。根據(jù)輸入三角波電壓的幅度,不斷增加(或減少)二極管通路以改變轉(zhuǎn)換網(wǎng)絡(luò)的衰減比,輸出近似的正弦電壓波形。

      有源正弦函數(shù)轉(zhuǎn)換電路除二極管、電阻網(wǎng)絡(luò)外,還包括放大環(huán)節(jié)。也是根據(jù)輸入三角波電壓的幅度,不斷增加(或減少)網(wǎng)絡(luò)通路以改變轉(zhuǎn)換電路的放大倍數(shù),輸出近似的正弦電壓波形。

      數(shù)

      若設(shè)正弦波在過零點(diǎn)處的斜率與三角波斜率相同,即

      則有,由此,可推斷出各斷點(diǎn)上應(yīng)校正到的電平值:

      方案一,使用二極管控制形成比例放大器,使得運(yùn)放在不同時(shí)間段有不同的比例系數(shù)

      方案二,用二極管網(wǎng)絡(luò),實(shí)現(xiàn)逐段校正,運(yùn)放A組成跟隨器,作為函數(shù)轉(zhuǎn)換器與輸出負(fù)載之間的隔離(或稱為緩沖級)。

      當(dāng)輸入三角波在T/2 內(nèi)設(shè)置六個(gè)斷點(diǎn)以進(jìn)行七段校正后,可得到正弦波的非線性失真度大致在1.8 % 以內(nèi),若將斷點(diǎn)數(shù)增加到12 個(gè)時(shí),正弦波的非線性失真度可在0.8 %以內(nèi)。3 輸出級電路 根據(jù)不同負(fù)載的要求,輸出級電路可能有三種不同的方式。

      (1)電壓源輸出方式

      電壓源輸出方式下,負(fù)載電阻RL通常較大,即負(fù)載對輸出電流往往不提出什么要求,僅要求有一定的輸出電壓。同時(shí),當(dāng)負(fù)載變動時(shí),還要求輸出電壓的變化要小,即要求輸出級電路的輸出電阻RO足夠小。為此,必須引入電壓負(fù)反饋

      圖(a)電路的最大輸出電壓受到運(yùn)放供電電壓值的限制,如運(yùn)放的VCC 和VEE 分別為±15V時(shí),則VOPP =±(12 ~ 14)V。若要求有更大的輸出電壓幅度,必須采用電壓擴(kuò)展電路,如圖12(b)所示。

      (2)電流源輸出方式

      在電流源輸出方式下,負(fù)載希望得到一定的信號電流,而往往并不提出對輸出信號電壓的要求。同時(shí),當(dāng)負(fù)載變動時(shí),還要求輸出電流基本恒定,即要求有足夠大的輸出電阻Ro。為此,需引入電流負(fù)反饋。

      圖(a)電路的最大輸出電壓受到運(yùn)放供電電壓值的限制,如運(yùn)放的VCC 和VEE 分別為±15V時(shí),則VOPP =±(12 ~ 14)V。若要求有更大的輸出電壓幅度,必須采用電壓擴(kuò)展電路,如圖(b)所示。

      a)為一次擴(kuò)流電路,T1 和T2 組成互補(bǔ)對稱輸出。運(yùn)放的輸出電流IA中的大部分將

      圖(作為T1、T2 的基極電流,所以IO = βIA。圖(b)為二次擴(kuò)流電路,用于要求負(fù)載電流IO 較大的場合。復(fù)合管T1、T2和T3、T4 組成準(zhǔn)互補(bǔ)對稱輸出電路。

      (3)功率輸出方式

      在功率輸出方式下,負(fù)載要求得到一定的信號功率。由于晶體管放大電路電源電壓較低,為得到一定的信號功率,通常需配接阻值較小的負(fù)載。電路通常接成電壓負(fù)反饋形式。如用運(yùn)放作為前置放大級,還必須進(jìn)行擴(kuò)流。當(dāng)RL較大時(shí),為滿足所要求的輸出功率,有時(shí)還必須進(jìn)行輸出電壓擴(kuò)展。

      靜態(tài)時(shí),運(yùn)放輸出為零,– 20V電源通過下列回路:運(yùn)放輸出端→R1 →DZ →b1 →e1 → –20V 向T1 提供一定的偏置電流 R6 ,C3 和R7 ,C4 組成去耦濾波電路。需要注意的是幾個(gè)晶體管的耐壓限流以及最大功率值。

      其中調(diào)節(jié)W可改變晶體管的靜態(tài)工作電流,從而克服交越失真。

      4)輸出級的限流保護(hù) 由于功率放大器的輸出電阻很小,因而容易因過載而燒壞功率管。因此需要進(jìn)行限流保護(hù)。

      圖(a)是一種簡單的二極管限流保護(hù)電路,當(dāng)發(fā)生過流(I o過大)時(shí),R3、R4 上的壓降增大到足以使D3、D4 導(dǎo)通,從而使流向T1、T2 基極的電流信號I1、I2 分流,以限制I o 的增大。

      圖(b)是另一種限流保護(hù)電路,T3、T4 是限流管。當(dāng)I o 過大,R5、R6 上的壓降超過0.6V時(shí),T3、T4 導(dǎo)通防止了T1、T2 基極信號電流的進(jìn)一步增大。I o 的最大值為 0.6/R5,R3、R4 用來保護(hù)限流管T3、T4。

      五、仿真分析

      以1KHz為例即C=1nF

      三角波方波發(fā)生電路

      方波下降沿時(shí)間4.3μs

      三角波峰值

      改變RP2

      改變RP1

      調(diào)節(jié)占空比

      調(diào)節(jié)偏移量

      正弦波轉(zhuǎn)換器

      三角波轉(zhuǎn)換正弦波,三角波放大后輸出峰峰值10V

      靜態(tài)工作點(diǎn)

      改變靜態(tài)工作點(diǎn)(調(diào)節(jié)RP45)發(fā)生失真

      功率放大電路

      功率放大波形,輸入為之前的正弦波,變阻器衰減后最大不失真輸出電壓

      總電路圖,模塊形式

      衰減前的輸入信號與輸出信號

      由仿真結(jié)果來看,基本滿足設(shè)計(jì)要求,準(zhǔn)備按仿真電路設(shè)計(jì)實(shí)際電路。

      六、仿真心得

      在仿真的過程中出現(xiàn)了一下幾個(gè)問題,但后來都分別排查掉了,希望實(shí)際連接時(shí)不再犯。

      1、運(yùn)放未接電源導(dǎo)致沒有波形

      2、變阻器接入阻止過小或過大導(dǎo)致沒有信號或失真(尤其需要注意)

      3、Lm324故障無法解決導(dǎo)致用了LM353代替

      第五篇:函數(shù)信號發(fā)生器設(shè)計(jì)論文.

      四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 目 錄

      前言.....................................................................1 1 函數(shù)信號發(fā)生器設(shè)計(jì)任務(wù)................................................1 1.1 設(shè)計(jì)提議...........................................................1 1.2 方案論證與研究.....................................................1 2 方案設(shè)計(jì)..............................................................2 2.1 項(xiàng)目指標(biāo)...........................................................2 2.1.1 電源參數(shù).......................................................2 2.1.2 工作頻率.......................................................2 2.2 方案比較及選擇.....................................................2 3 設(shè)計(jì)理論..............................................................3 3.1 函數(shù)發(fā)生器的結(jié)構(gòu)組成...............................................3 3.2 方波信號...........................................................3 如圖3.2-1由運(yùn)算放大器和電容積分電路、Rf組成的,輸出電壓最終反饋到運(yùn)

      放反相輸出端,因此積分電路有負(fù)反饋和延遲的作用。........................3 3.3 正弦波信號.........................................................4 3.4 三角波信號.........................................................6 4 RC振蕩電路設(shè)計(jì)........................................................7 5 放大器功率及ICL8038介紹...............................................9 5.1 放大器功率.........................................................9 5.2 ICL8038原理介紹...................................................10 6 致謝..................................................................11 7 總結(jié)及體會............................................................12 附錄1 系統(tǒng)原理圖.......................................................13 附錄2 系統(tǒng)元件清單.....................................................14 附錄3 系統(tǒng)PCB圖.......................................................15 I 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 參考文獻(xiàn)................................................................16 II 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 函數(shù)信號發(fā)生器設(shè)計(jì)論文

      前言

      函數(shù)信號發(fā)生器的制作是以集成塊ICL8038為核心器件,制作的成本也相對較低。是適合學(xué)生學(xué)習(xí)、使用電子技術(shù)測量。ICL8038可以輸出具有多種波形的精

      密振蕩集成電路,要想產(chǎn)生從0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脈沖信號只需要個(gè)別外部元件。輸出波形的占空比和頻率還可以由電阻或電流控制。其次由于此芯片具有調(diào)制信號的輸入端,所以可以用作頻率調(diào)制,針對于低頻信號。

      函數(shù)信號發(fā)生器有著不同的用途,其電路中使用的器件是分離器件的可以產(chǎn)生三種或多種波形的函數(shù)發(fā)生器;而產(chǎn)生正弦波、方波、三角波也有多種方案,是集成器件電路,如先產(chǎn)生正弦波,根據(jù)其周期性內(nèi)部某種確定的函數(shù)關(guān)系,再將正弦波通過整形電路轉(zhuǎn)化為方波,最后三角波通過積分電路形成。也可以先產(chǎn)生方波或三角波,再將方波或三角波轉(zhuǎn)化成正弦波。隨著電子技術(shù)日益發(fā)展,新器材、新材料越發(fā)漸好,隨著期間可選性的增加,函數(shù)信號發(fā)生器開發(fā)出更多的新款式,比如在技術(shù)上很可靠的產(chǎn)生正弦波、三角波、方波的主芯片ICL8038。所以,可以選擇多種多樣的方案,原則上是可行的。1 函數(shù)信號發(fā)生器設(shè)計(jì)任務(wù) 1.1 設(shè)計(jì)提議

      產(chǎn)品開發(fā)、工業(yè)生產(chǎn)、科學(xué)研究等領(lǐng)域都的使用函數(shù)信號發(fā)生器,它常用的基本測試信號有鋸齒波和正弦波、矩形波、三角波。常作為時(shí)基電路的鋸齒波信號在示波器等儀器中利用熒光屏顯示圖像。例如,想要通過示波器熒光屏上觀察到被測不失真地信號波形,通過產(chǎn)生鋸齒波電壓使的電子束在水平方向勻速搜出熒光屏。方波,三角波都有著不同的重要作用,而函數(shù)信號發(fā)生器是指一種能自發(fā)的產(chǎn)生方波、正弦波、三角波和鋸齒波階梯波等電壓波形的儀器或電路。因此,提議設(shè)計(jì)一種能產(chǎn)生三角波、正弦波、方波的函數(shù)信號發(fā)生器。1.2 方案論證與研究

      函數(shù)信號發(fā)生器用途較多,其電路中使用的器件是分離器件的可以產(chǎn)生三種或多種波形的函數(shù)發(fā)生器;而產(chǎn)生正弦波、方波、三角波也有多種方案,是集成器件電路,如先產(chǎn)生正弦波,根據(jù)其周期性內(nèi)部某種確定的函數(shù)關(guān)系,再將正弦波通過整形電路轉(zhuǎn)化 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 為方波,最后三角波通過積分電路形成。也可以先產(chǎn)生方波或三角波,再將方波或三角波轉(zhuǎn)化成正弦波。隨著電子技術(shù)日益發(fā)展,新器材、新材料越發(fā)漸好,隨著期間可選性的增加,函數(shù)信號發(fā)生器開發(fā)出更多的新款式,比如在技術(shù)上很可靠的產(chǎn)生正弦波、三角波、方波的主芯片ICL8038。所以,可以選擇多種多樣的方案,原則上是可行的。2 方案設(shè)計(jì)

      2.1 項(xiàng)目指標(biāo) 2.1.1 電源參數(shù)

      ● 輸入:雙電源 +12V、-12v

      ● 輸出:方波電壓約等于12v,三角波電壓與約等于5v,正弦波電壓大于1v,幅 度可連續(xù)調(diào),線性失真就會較小。2.1.2 工作頻率

      頻率范圍:10HZ~100HZ,100HZ~1000HZ 2.2 方案比較及選擇

      方案一:正弦振蕩是由文氏電橋產(chǎn)生,然后得到方波,三角波是方波積分得到的。此方案結(jié)構(gòu)簡單,是一開環(huán)電路,產(chǎn)生的失真較小的正弦波和方波波形①。但于產(chǎn)生三角波則比較有麻煩,因?yàn)轭l率覆蓋系數(shù)要求有1000倍,因此對于1000倍的頻率變化會有積分時(shí)間從而使輸出電壓振幅的1000倍變化。而這是不滿足電路要求的。幅度的穩(wěn)定性幾乎難以達(dá)到要求。并且通過仿真實(shí)驗(yàn)會發(fā)現(xiàn)積分器極易產(chǎn)生線性失真。

      方案二:通過芯片ICL8038產(chǎn)生8083集成函數(shù)發(fā)生器。

      該集成函數(shù)發(fā)生器是一種用途較多的波形發(fā)生器,可以產(chǎn)生方波、正弦波、三角波和鋸齒波,通過外加的直流電壓進(jìn)行振蕩器調(diào)節(jié),所以是電壓控制集成信號產(chǎn)生器。由于兩個(gè)電流源控制外接電容C的充、放電電流,所以電容C兩端電壓大小變化與時(shí)間成線形關(guān)系,從而可以輸出理想的三角波波形。8038電路中含正弦波變換器,因此可以將三角波轉(zhuǎn)化成正弦波輸出。另外還可以將三角波轉(zhuǎn)換成方波輸出通過觸發(fā)器。此方案的特點(diǎn)有: ◆ 穩(wěn)定性好而且線性良好;

      ◆ 易調(diào)頻率,頻帶在幾個(gè)數(shù)量級范圍內(nèi),可以方便地、連續(xù)地改變頻率大小,而且 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) ◆ 變頻率的同時(shí),幅度是不會發(fā)生變的;

      ◆ 不會出現(xiàn)過渡過程,只要接通電源后就會立即產(chǎn)生穩(wěn)定的波形圖; ◆ 方波和三角波在半周期內(nèi)的時(shí)間是線性函數(shù),容易轉(zhuǎn)換為別的波形。故由此,本次信號設(shè)計(jì)采用的是第二種方案。3 設(shè)計(jì)理論

      3.1 函數(shù)發(fā)生器的結(jié)構(gòu)組成

      函數(shù)發(fā)生器是指能夠自動產(chǎn)生方波、正弦波、三角波的電壓波形的儀器或電路??梢圆捎糜蛇\(yùn)放、分離元件及單片集成函數(shù)發(fā)生器構(gòu)成電路形式。根據(jù)不同的用途,可以產(chǎn)生三種或多種不同波形的函數(shù)發(fā)生器,本次介紹的事不同函數(shù)信號發(fā)生器的方法。

      函數(shù)信號發(fā)生器是由正弦波形發(fā)生電路和基礎(chǔ)的非正弦信號發(fā)生電路組合成的。下面我們將分別對方波、正弦波、三角波的發(fā)生進(jìn)行分析,從而使在合成電路時(shí)電路更加的合理。3.2 方波信號

      如圖3.2-1由運(yùn)算放大器和電容積分電路、Rf組成的,輸出電壓最終反饋到運(yùn)放反相輸出端,因此積分電路有負(fù)反饋和延遲的作用。

      圖3.2-1 運(yùn)算放大電路

      電路如圖3.2-2所示,在接通電源時(shí),電容兩端的電壓為零,且輸出電壓等于UZ,所以運(yùn)放同相輸出端的電壓uP=UzR2=UZF。R1+R2 3 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 此時(shí)uO=UZ向C充電,使運(yùn)放反相端輸入電壓uN不斷上升。在uN小于uP以前,uO=UZ不變。在t=t1時(shí),uN逐漸上升到略高于uP,使uO從高電平跳到低電平,變?yōu)?UZ。

      此時(shí)通過Rf向C充電,使運(yùn)放反相輸入端的電壓uNuP=-UZF,uO=-UZ時(shí),逐漸增加。在uN大于uP以前,uO=-UZ大小保持不變。在t等于t2時(shí),uN減小到稍低于uP,則uO從低電平跳到高電平,變?yōu)閁Z,又回到最初狀態(tài)。如此重復(fù),循環(huán),從而產(chǎn)生振蕩,并輸出方波。

      根據(jù)上面的分析,從而可以畫出如下圖uO與uC的波形:

      圖3-2-2 uO與uC的波形

      有圖波形,并取適當(dāng)?shù)腞1、R2值,F(xiàn)=R2(R1+R2),則T=2RfC,得到振蕩頻率為:

      3.3 正弦波信號

      即又被稱為文氏電橋振蕩器,如圖3-3-1所示其中是由同相運(yùn)放電路組成的A放大器,如圖3.3-1,Av= VoR=(2+1)VdR1f0=11=T2CRf 4 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì)

      圖3.3-1 文氏電橋振蕩電路 圖3.3-2 同相運(yùn)放電路

      由RC串并聯(lián)組成網(wǎng)絡(luò)F,因?yàn)檫\(yùn)放的輸入阻抗較大,所以輸出阻抗Ro就很小,對網(wǎng)絡(luò)F幾乎沒有影響影響,故忽略不計(jì),根據(jù)圖3.3-3得 R VfjωRC+1Fv==1RVo++RjωC1+jωRC =R 1(jωRC+1+R)+RjωC=R1j(ωR2C-)+3RωC 5 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 根據(jù)自激振蕩條件: AF =T=1故有AvFv=AvR=1 因此上式中分母12j(ωRC-)+3RωC 中的虛部必須等于零,即 R2Cw-1=0 ωC ?振蕩頻率ω0=1 CR

      上式中實(shí)部為1,所以起振條件Av=3 圖3.3-2是同相運(yùn)放,Av=R2+1 須滿足條件2R1=

      R2 R1 圖3.3-3 RC串并聯(lián)

      3.4 三角波信號

      根據(jù)RC的積分電路輸出和輸入信號波形的關(guān)系可得,當(dāng)輸入信號是方波時(shí),則輸出的信號便是三角波,由此可知,三角波信號發(fā)生器是由RC積分電路和方波信號發(fā)生器組成。下圖3-2-3便是三角波信號發(fā)生器的電路組成。圖中的方波信號發(fā)生器是由A1運(yùn)算放大器組成,RC積分電路是由A2組成。該電路的設(shè)計(jì)原理是:由方波信號發(fā)生器輸出方波。反相積分電路由圖中A1,A2和C、R4等組成。

      分析可以畫出uO1和uO的波形,如圖3.4-1所示。6 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì)

      圖3.4-1 uO1和uO的波形

      電壓uO的上升和下降幅度和時(shí)間變量相等,而且上升和下降的斜率的絕對值大小也相等。三角故波uO峰值為:

      Uom= UZR2 R1 4R1R4C R2 則在調(diào)整三角波電路時(shí),R1或R2應(yīng)被先調(diào)整,使峰值達(dá)到所需要的值,最后再調(diào)整故振蕩周期: T=2(t2-t1)=R4或C,使頻率f0能滿足要求。4 RC振蕩電路設(shè)計(jì)

      RC振蕩器電路的設(shè)計(jì),就是根據(jù)給出的指標(biāo)要求,選擇適合的電路結(jié)構(gòu)形式,并確定和計(jì)算電路中各元件的參數(shù),在所要求的頻率范圍內(nèi)使它們滿足振蕩的條件,使電路產(chǎn)生正弦波形。RC振蕩器的設(shè)計(jì)的步驟為:

      ● 根據(jù)已知的指標(biāo)參數(shù),選擇適合的電路形式?!?計(jì)算并確定電路中的各元件參數(shù)?!?選擇運(yùn)算放大器

      ● 為滿足電路指標(biāo)要求可通過調(diào)試。四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì)

      例如:設(shè)計(jì)一個(gè)振蕩頻為800Hz的RC正弦波振蕩器。設(shè)計(jì)步驟如下: 計(jì)算并確定電路中的各元件參數(shù)?!?RC的值可根據(jù)振蕩器的頻率計(jì)算。RC= ● 確定R和C的值 1=1.99?10-4(s)2πf0

      為了使選頻網(wǎng)絡(luò)不受運(yùn)算放大器輸入和輸出電阻的影響。按:Ri >> R >> R0的關(guān)系確定R的值。其中:運(yùn)算放大器同相端的輸入電阻Ri。為運(yùn)算放大器的輸出電阻R0。

      當(dāng)R=20kΩ時(shí),則:

      1.99?10-4-7C==0.995?10F 320?10 ● 確定R3和Rf 的值(Rf=R4+Rw+rd//R5)根據(jù)振蕩的振幅條件,Rf應(yīng)大于2R3,取Rf=2.01R3。從而減小波形失真。此外,為了滿足R等于R3并聯(lián)Rf的直流平衡條件,并減小運(yùn)放輸入失調(diào)的影響。

      由Rf=2.01R3和R=R3//Rf可求出:

      R3= 取整數(shù)值: R3=30k Ω

      所以:Rf=2.01R3=2.01?30?103Ω=60.3kΩ.為了是效果更好, Rf與R3的值還可以通過實(shí)驗(yàn)調(diào)整后確定?!?確定其元件值及電路。

      電路由R5和接法相反的二極管D1、D2并聯(lián)而成。

      二極管D1、D2 應(yīng)選用其元件值硅管,因其溫度穩(wěn)定性較高。當(dāng)然二極管D1、D2的特性必須保持一致,以確保輸出波形的正負(fù)半軸對稱?!?R2與R5確定

      由于二極管的非線性會導(dǎo)致波形失真,因此,可在二極管的兩端并上一個(gè)阻值與rd相近的電阻R5。用來減小非線性失真,然后再經(jīng)過調(diào)整,達(dá)到最好效果。便可確定R5,再計(jì)算出R2。為了是效果更加明顯,電阻 R2可用50kΩ電阻和40 kΩ的電位器串聯(lián)。● 運(yùn)放型號的選擇

      運(yùn)放選擇,要求輸入高阻、輸出低阻,而且滿足增益帶寬積:Auo? BW 大于3fo 的 3.13.1?20?103=29.8?103Ω R =2.012.01 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì)

      條件。因?yàn)閒o=800Hz,所以選擇μA741集成運(yùn)算放大器。5 放大器功率及ICL8038介紹 5.1 放大器功率

      由多級放大器組成的便是電子電路。在工作過程中,電壓放大是由小信號放大電路對輸入信號進(jìn)行的,再通過功率放大電路將功率放大,以便于控制或驅(qū)動負(fù)載電路工作。功率放大器就是以功率放大為目的的電路。低頻功率放大器也稱為功率放大器,是能使低頻信號功率放大的放大器。

      如圖5.1-1 OTL 低頻功率放大器所示。其中由晶體三極管T1組成前置放大級(也稱推動級),T2、T3是一組參數(shù)對稱的PNP和NPN型晶體三極管,它們組成OTL功放電路。射極輸出器形式是由每一個(gè)管子接成的,因此輸出電阻低,負(fù)載能力較強(qiáng)等優(yōu)點(diǎn),適合功率輸出級。甲類狀態(tài)由T1管工作,此集電極電流IC1是通過電位器RW1進(jìn)行調(diào)節(jié)。IC1 的一部分流經(jīng)二極管D及電位器RW2,給T2、T3提供電壓。通過調(diào)節(jié)RW2,可以使T2、T3在甲、乙類狀態(tài)得到合適的靜態(tài)電流,以克服失的一端,因此可在電路中引入交、直流電壓并聯(lián)負(fù)反饋,一方面改善了非線性失真,同時(shí)也能夠穩(wěn)定放大器的靜態(tài)工作點(diǎn)。R和C2構(gòu)成用于提高輸出電壓正半周的幅度自舉電路,從而得到較大的動態(tài)范圍。C2和R 構(gòu)成自舉電路,用于提高輸出電壓正半周的幅度,以得到大的動態(tài)范圍。主要性能指標(biāo)是OTL 電路。

      在輸出功率P0m的最大不失真理想情況下,在實(shí)驗(yàn)中可測量RL 兩端的電壓有效值通過計(jì)算來得實(shí)際的

      其中由晶體三極管T1組成前置放大級(也稱推動級),T2、T3是一對參數(shù)對稱的NPN和PNP型晶體三極管,互補(bǔ)推挽的OTL功放電路就由它們組成。由于射極輸出器形式是每一個(gè)管子連接成的,因此具有輸出低電 阻,負(fù)載能力較強(qiáng)等優(yōu)點(diǎn),適合作用于功率輸出級。甲類狀態(tài)T1管工作,通過調(diào)節(jié)電位器RW1來調(diào)節(jié)它的集電極電流IC1。IC1 的一部分流經(jīng)二極管D及電位器RW2,給T2、T3提供偏電壓。為甲、乙類狀態(tài)在T2、T3得到合適的靜態(tài)電流,可通過調(diào)節(jié)RW2來實(shí)現(xiàn),從而又由于RW1的一端接在A點(diǎn),因此在電路中引入交、直流電壓并聯(lián)負(fù)反饋,一方面能夠穩(wěn)定放大器的靜態(tài)工作點(diǎn),同時(shí)也改善了非線性失真。9 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì)

      圖5.1-1 OTL 功率放大器實(shí)驗(yàn)電路 5.2 ICL8038原理介紹

      芯片ICL8038是單片集成函數(shù)發(fā)生器,如圖5-3s所示為其內(nèi)部原理電路框圖。ICL8038由恒流電流源I1、I2,觸發(fā)器和電壓比較器C1、C2等組成。電壓比較器C1的門限電壓為2VR/

      3、的為VR(VR= VEE+VCC),可通過調(diào)節(jié)外接電阻確定電流源I1和I2的大小,并且I2必須大于I1。當(dāng)觸發(fā)器Q端輸出電平低時(shí),I2通過開關(guān)S的控制從而使電流源斷開。而電流源I1向外接電容C充電,電壓隨時(shí)間變化線性下降,當(dāng)其下降到小于VC時(shí),比較器C2輸出發(fā)生跳變,當(dāng)VC上升到2VR/3時(shí),比較器C1輸出波形會發(fā)生跳變,從而使觸發(fā)器輸出端Q由低電平變?yōu)楦唠娖?,電流源I2接通通過控制開關(guān)S。當(dāng)其上升和下降時(shí)間相等時(shí),產(chǎn)生的波形輸出到引腳3,而觸發(fā)器輸出的波形經(jīng)緩沖器輸出到引腳9。三角波由正弦波變換器變成正弦波后由引腳2輸出。由此知ICL8038能輸出三角波、方波和正弦波等三種及三種以上的不同波形。其中,外部接入振蕩電容C,它是通過內(nèi)部兩個(gè)恒流電源來完成充電、放電的過程。恒流源2的工作狀態(tài)是由恒流源1對電容器C持續(xù)充電,并增加電容電壓,從而達(dá)到改變比較器的狀態(tài)改變、輸入電平以及帶動觸發(fā)器翻轉(zhuǎn)來連續(xù)控制的。當(dāng)觸發(fā)器使恒流源2處于關(guān)閉狀態(tài),電容電壓值是比較器1輸入電壓規(guī)定值的2/3倍時(shí),比較器1的狀態(tài)發(fā)生改變,使觸發(fā)器的工作狀態(tài)發(fā)生翻轉(zhuǎn),此時(shí)將模擬開關(guān)K由B接到A點(diǎn)。因?yàn)楹懔髟?的電流值為2I,比恒流源1大,所以電容器處于放電狀態(tài),在單位時(shí)間內(nèi)電容器端電壓將將發(fā)生改變,為線性下降,當(dāng)電容電壓值下降到比較器2的輸入電壓規(guī)定值的1/3倍時(shí),比較器2狀態(tài)發(fā)生改變,使觸發(fā)器再次翻轉(zhuǎn)到原來的狀態(tài),周而復(fù)始的完成此振蕩過程。四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 根據(jù)以上分析,上述基本電路中很容易獲得3種函數(shù)信號,倘若電容器在放電過程和在充電過程的時(shí)間常數(shù)相等,而且是在電容器充放電時(shí),那么電容電壓輸出的就是三角波函數(shù),從而三角波信號由此獲得。因?yàn)橛|發(fā)器的工作狀態(tài)也是由電容電壓的充放電的過程決定的,因此,觸發(fā)器的狀態(tài)通過翻轉(zhuǎn),就能夠產(chǎn)生方波函數(shù)信號,在芯片內(nèi)部結(jié)構(gòu)中,這兩種信號經(jīng)過緩沖器功率的放大,并從管腳3和管腳9輸出可得。滿足方波函數(shù)等信號在頻率、占空比調(diào)節(jié)的全部范圍可適當(dāng)?shù)倪x擇外部電阻RA和RB和C。所以,對兩個(gè)電流源在I和2I電流不等的情況下,可以從最小到最大范圍中循 環(huán)調(diào)節(jié),并任意選擇調(diào)整,因此,只需要使電容器充放電時(shí)間不相等,便可獲得鋸齒波等函數(shù)信號。

      圖5.2-1 內(nèi)部原理電路框圖 6 致謝

      本課題在選題以及研究過程是在孫活老師的親切關(guān)懷和悉心指導(dǎo)下完成的。老師們多次詢問研究設(shè)計(jì)進(jìn)程,并為我悉心指點(diǎn)迷津,幫助我開拓思路,耐心點(diǎn)撥、鼓勵(lì)。老師們嚴(yán)謹(jǐn)細(xì)致、一絲不茍的工作作風(fēng),嚴(yán)謹(jǐn)求實(shí)的態(tài)度,踏踏實(shí)實(shí)的精神,不僅授我以文,而且教我做人,雖歷時(shí)三載,卻給以終生受益無窮之道。對老師的感激之情是無法用言語表達(dá)的。感謝帶過我的老師對我的教育培養(yǎng)。他們細(xì)心指導(dǎo)我的學(xué)習(xí)與研究,從課題的選擇到項(xiàng)目的最終完成,老師們都始終給予我細(xì)心的指導(dǎo)和不懈的支持。在此,我要向諸位老師深深地鞠上一躬并致以誠摯的謝意和崇高的敬意。

      在此,我還要感謝我的5位室友,正是有你們的幫助、理解和支持,我才能克服一個(gè)一個(gè)的困難,直至順利的完成本文。當(dāng)然也缺少不了一起愉快度過三年的大

      學(xué)同學(xué),他們給與我?guī)椭?,支持,我在此也由衷的表示感謝。最后我還要感謝含辛茹苦的把培養(yǎng) 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 我長大的父母,謝謝您們!7 總結(jié)及體會

      通過本次課程設(shè)計(jì),加強(qiáng)了我們的思考、動手和解決問題的能力,經(jīng)常會遇到不同的情況,心里總想著這樣的接法或許可以行得通,但實(shí)際接上電路后才發(fā)現(xiàn)不對,實(shí)現(xiàn)不了預(yù)想的效果,因此耗在這上面的時(shí)間用的比較多。

      我覺得做課程設(shè)計(jì)的同時(shí)也鞏固和加強(qiáng)了課本知識,由于課本上的知識太多而且零散,平時(shí)課間的學(xué)習(xí)也并不能很好的理解并運(yùn)用各個(gè)元件的功能,考試內(nèi)容又比較有限,因此在這次課程設(shè)計(jì)過程中,我了解了很多元件的功能以及使用。平時(shí)看課本學(xué)習(xí)書本知識時(shí),有時(shí)問題總是弄不懂,可做完設(shè)計(jì),那些不是問題的問題就迎刃而解了。甚至還記住很多東西,受益匪淺。如一些芯片的功能及作用,平時(shí)看課本講解,看一次忘一次,沒從根本上理解。通過這次動手實(shí)踐讓我對各個(gè)元件印象深刻。所以認(rèn)識、了解來源于實(shí)踐,實(shí)踐才是認(rèn)識的動力和最終目的,實(shí)踐出真理。所以這次的設(shè)計(jì)對我的學(xué)習(xí)和幫助作用都非常大的。

      通過該次設(shè)計(jì),在理論學(xué)習(xí)時(shí),很少會有實(shí)踐的機(jī)會,但我們學(xué)院可以,而且設(shè)計(jì)制作也是一個(gè)團(tuán)隊(duì)的任務(wù)!一起的學(xué)習(xí)工作中可以讓我們團(tuán)結(jié)一致,相互幫助,默契配合,多少歡樂在這里灑下。我認(rèn)為我們的工作是一個(gè)團(tuán)隊(duì)的工作,團(tuán)隊(duì)需要個(gè)人,個(gè)人也離不開團(tuán)隊(duì),必須發(fā)揚(yáng)團(tuán)結(jié)合作的精神。這次實(shí)驗(yàn)設(shè)計(jì)必將成為我人生旅途上的一個(gè)非常美好的回憶!

      通過對此課程設(shè)計(jì)是我認(rèn)識到,電路設(shè)計(jì)需要我們耐心,需要縝密的整套思維邏輯,要求我們學(xué)會分析。懂得只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,只有將理論和實(shí)踐結(jié)合起來才能順利完成。我期盼在今后的學(xué)習(xí)過程中能讓學(xué)生更加的接近器材,獨(dú)立完成很多知識不能只看表面,要深究其真正作用才行,需要不斷積累經(jīng)驗(yàn)。所以說,坐而言不如立而行,對于這些電路還是得自己親自動手才能印象深刻。這次的課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中也遇到了很多專業(yè)知識問題,最后通過老師的辛勤指導(dǎo),終于迎刃而解了。經(jīng)過老師的悉心指導(dǎo),我們學(xué)也到了很多實(shí)用的知識,在次我表示深深感謝!同時(shí),對給過我?guī)椭椭С值乃型瑢W(xué)及各位指導(dǎo)老師再次表示忠心的感謝!四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 附錄1 系統(tǒng)原理圖

      圖1 系統(tǒng)原理圖 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 附錄2 系統(tǒng)元件清單 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 附錄3 系統(tǒng)PCB圖

      圖2 信號發(fā)生器圖 四川師范大學(xué)成都學(xué)院通信原理課程設(shè)計(jì) 參考文獻(xiàn)

      [1] 康華光、鄒壽彬:電子技術(shù)基礎(chǔ)數(shù)字部分(第四版),高等教育出版社,1999.3,P3-P7 [2] 劉光明:現(xiàn)代通信原理,人民郵電出版社,2007.6, P21-P30 [3] 任元、吳勇:《常用電子原件簡明手冊》,工業(yè)出版社,2005.2, P7-P10 [4] 童詩白:模擬電子技術(shù),高等教育出版社,2003.8 , P52-P57 [5]杜膚生:數(shù)字集成電路應(yīng)用,人民郵電出版社,2001.6, P31-P42 [6] 王兆義:電路分析,機(jī)械工業(yè)出版社,2007.7, P15-P27 [7] 周永金:《模擬電子技術(shù)及應(yīng)用》,陜西鍋飯學(xué)院電子教研室.2003.5, P135-P141 [8] 陳路、鄭毅:PROTEL 99SE 電路板設(shè)計(jì)與制作,人民郵電出版社,2007年第2期,P21-P28 16

      下載基于DDS芯片AD9850的全數(shù)控函數(shù)信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)word格式文檔
      下載基于DDS芯片AD9850的全數(shù)控函數(shù)信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn).doc
      將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
      點(diǎn)此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦

        函數(shù)信號發(fā)生器設(shè)計(jì)實(shí)驗(yàn)報(bào)告

        函數(shù)信號發(fā)生器的設(shè)計(jì) 實(shí)驗(yàn)報(bào)告 院 系:電子工程學(xué)院 班 級:2012211209 姓 名:陳炳文 班內(nèi)序號:學(xué) 號: 0 實(shí)驗(yàn)?zāi)康模? 設(shè)計(jì)一個(gè)設(shè)計(jì)制作一個(gè)可輸出方波、三角波、正弦波信號的函數(shù)......

        函數(shù)信號發(fā)生器的設(shè)計(jì)參考

        函數(shù)信號發(fā)生器的設(shè)計(jì)與制作 函數(shù)信號發(fā)生器一般是指能自動產(chǎn)生正弦波、三角波、方波及鋸齒波、階梯波等電壓波形的電子儀器。根據(jù)用途不同,有產(chǎn)生三種或多種波形的函數(shù)發(fā)生......

        EDA課程設(shè)計(jì)——基于DDS的正弦信號發(fā)生器設(shè)計(jì)(模版)

        頂層文件 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DDS IS PORT(K:IN STD_LOGIC_VECTOR(9 DOWNTO 0); EN:IN STD_LOGIC; RE......

        基于51單片機(jī)函數(shù)信號發(fā)生器設(shè)計(jì).

        摘 要: 本系統(tǒng)利用單片機(jī)AT89S52采用程序設(shè)計(jì)方法產(chǎn)生鋸齒波、正弦波、矩形波三種波形,再通過D/A轉(zhuǎn)換器DAC0832將數(shù)字信號轉(zhuǎn)換成模擬信號,濾波放大,最終由示波器顯示出來,能產(chǎn)1H......

        基于51單片機(jī)函數(shù)信號發(fā)生器設(shè)計(jì)

        湘南學(xué)院 電子工程設(shè)計(jì) 題 目: 基于51單片機(jī)的函數(shù)信號發(fā)生器設(shè)計(jì) 學(xué)院(系): 電子信息與電氣工程學(xué)院 年級專業(yè): 2013級電子信息科學(xué)與技術(shù) 學(xué) 號: 201314110144 ,201314110106 學(xué)......

        VHDL實(shí)驗(yàn)四函數(shù)信號發(fā)生器設(shè)計(jì).

        VHDL實(shí)驗(yàn)四:函數(shù)信號發(fā)生器設(shè)計(jì) 設(shè)計(jì)要求:設(shè)計(jì)一個(gè)函數(shù)信號發(fā)生器,能產(chǎn)生方波,三角波,正弦波,階梯波。 設(shè)計(jì)概述:信號的輸出實(shí)質(zhì)上是指電壓幅度隨時(shí)間的變化。根據(jù)這個(gè)原理......

        簡易函數(shù)信號發(fā)生器設(shè)計(jì).doc5篇

        摘 要 本方案主要用集成運(yùn)放LM324和74HC04等元器件設(shè)計(jì)組成一個(gè)簡易函數(shù)信號發(fā)生器。該函數(shù)信號發(fā)生器主要由振蕩電路、模擬比較器電路、二階RC有源低通濾波器電路和反相放......

        51單片機(jī)設(shè)計(jì)多功能低頻函數(shù)信號發(fā)生器

        【轉(zhuǎn)】 51單片機(jī)設(shè)計(jì)多功能低頻函數(shù)信號發(fā)生器 2010-06-05 17:37 轉(zhuǎn)載自 yeyongan1987 最終編輯 yeyongan1987 51單片機(jī)設(shè)計(jì)多功能低頻函數(shù)信號發(fā)生器 應(yīng)用89S52單片機(jī)和D......