第一篇:基于FPGA的三層電梯設(shè)計(jì)
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
題目:基于FPGA的三層電梯設(shè)計(jì)
學(xué) 院: 工學(xué)院
專業(yè)班級: 通信工程11秋2班 學(xué)生姓名:
學(xué) 號: 11032202 小組成員:
指導(dǎo)教師:
完成日期 2013年 12月
目錄 課題背景.....................................................1
1.1 課題的作用和意義.......................................1 1.2 國內(nèi)外的現(xiàn)狀和發(fā)展趨勢等情況...........................1 2 Quartus II軟件、FPGA硬件介紹................................2
2.1 QuartusII軟件介紹......................................2
2.1.1 Quartus II對器件的支持...........................2 2.2 FPGA硬件介紹...........................................3
2.2.1 FPGA基本簡介.....................................3 2.2.2 FPGA的優(yōu)點(diǎn).......................................3 設(shè)計(jì)思路及運(yùn)行流程...........................................4
3.1 電梯主要功能...........................................4 3.2電梯運(yùn)行設(shè)計(jì)思路........................................4 3.3 電梯的運(yùn)行流程.........................................4 3.4 模塊介紹...............................................5
3.4.1 電梯控制模塊介紹..................................5 3.4.2譯碼器模塊介紹....................................6 3.4.3 總模塊介紹........................................7 各模塊功能仿真圖.............................................8
4.1 電梯控制模塊功能仿真...................................8 4.2 譯碼模塊功能仿真.......................................9 5 實(shí)物展示....................................................10 6 心得體會(huì)....................................................12 7 謝 辭.......................................................13 參考文獻(xiàn)......................................................14 附錄一........................................................15 附錄二........................................................23
《數(shù)字電子技術(shù)》課程設(shè)計(jì) 課題背景
1.1 課題的作用和意義
電梯作為高層大廈的主要垂直交通工具,電梯系統(tǒng)服務(wù)質(zhì)量和效率的提高對建筑的有效利用和性能發(fā)揮將產(chǎn)生極為重要的影響。信息化時(shí)代的到來,推動(dòng)了電梯的發(fā)展,電梯控制器已成為當(dāng)今世界性開發(fā)的熱點(diǎn),也是各國綜合實(shí)力的表現(xiàn)。電梯系統(tǒng)作為建筑樓宇自動(dòng)化的重要組成部分,也要求向滿足大廈中大量人流、物流的垂直輸送需要,電梯制造商利用先進(jìn)的技術(shù),開發(fā)出各種高性能的電梯系統(tǒng)以滿足乘客生理和心理要求,實(shí)現(xiàn)高效的垂直輸送。1.2 國內(nèi)外的現(xiàn)狀和發(fā)展趨勢等情況
隨著科學(xué)技術(shù)的發(fā)展,近年來我國的電梯生產(chǎn)技術(shù)得到了迅速發(fā)展.一些電梯廠也在不斷改進(jìn)設(shè)計(jì)、修改工藝。更新?lián)Q代生產(chǎn)更新型的電梯,電梯主要分為機(jī)械系統(tǒng)與控制系統(tǒng)兩大部份,隨著自動(dòng)控制理論與微電子技術(shù)的發(fā)展,電梯的拖動(dòng)方式與控制手段均發(fā)生了很大的變化,交流調(diào)速是當(dāng)前電梯拖動(dòng)的主要發(fā)展方向。目前電梯控制系統(tǒng)主要有三種控制方式:繼電路控制系統(tǒng)、FPGA控制系統(tǒng)、微機(jī)控制系統(tǒng)。繼電器控制系統(tǒng)由于故障率高、可靠性差、控制方式不靈活以及消耗功率大等缺點(diǎn),目前已逐漸被淘汰。微機(jī)控制系統(tǒng)雖在智能控制方面有較強(qiáng)的功能,但也存在抗擾性差,系統(tǒng)設(shè)計(jì)復(fù)雜,一般維修人員難以掌握其維修技術(shù)等缺陷。而FPGA/CPLD控制系統(tǒng)由于運(yùn)行可靠性高,使用維修方便,抗干擾性強(qiáng),設(shè)計(jì)和調(diào)試周期較短等優(yōu)點(diǎn),倍受人們重視等優(yōu)點(diǎn),已成為目前在電梯控制系統(tǒng)中使用最多的控制方式,目前也廣泛用于傳統(tǒng)繼電器控制系統(tǒng)的技術(shù)改造。
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
Quartus II軟件、FPGA硬件介紹
2.1 QuartusII軟件介紹
QuartusII是Altera公司繼Max+plusII之后開發(fā)的一種針對其公司生產(chǎn)的系列CPLD/PGFA器件的綜合性開發(fā)軟件,它的版本不斷升級,從4.0版到10.0版,這里介紹的是QuartusII8.0版。Quartus II軟件的設(shè)計(jì)流程為:設(shè)計(jì)輸入、綜合和編譯、適配器、仿真、下載。Max+plusII作為Altera的上一代PLD設(shè)計(jì)軟件,由于其出色的易用性而得到了廣泛的應(yīng)用。目前Altera已經(jīng)停止了對Max+plus II 的更新支持。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設(shè)計(jì)的復(fù)雜性、加快了設(shè)計(jì)速度。2.1.1 Quartus II對器件的支持
QuartusII支持Altera公司的MAX 3000A系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘積項(xiàng)器件。支持MAX II CPLD系列、Cyclone系列、CycloneII、StratixII系列、StratixGX系列等。支持IP核,包含了LPM/MegaFunction宏功能模塊庫,用戶可以充分利用成熟的模塊,簡化了設(shè)計(jì)的復(fù)雜性、加快了設(shè)計(jì)速度。此外,QuartusII通過和DSP Builder工具與Matlab/Simulink相結(jié)合,可以方便地實(shí)現(xiàn)各種DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)(SOPC)開發(fā),集系統(tǒng)級設(shè)計(jì)、嵌入式軟件開發(fā)、可編程邏輯設(shè)計(jì)于一體,是一種綜合性的開發(fā)平臺(tái)。
軟件具有開放性、與結(jié)構(gòu)無關(guān)、多平臺(tái)、完全集成化、豐富的設(shè)計(jì)庫、模塊化工具等特點(diǎn),支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
2.2 FPGA硬件介紹 2.2.1 FPGA基本簡介
FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是專用集成電路中一種半定制電路。具克服了傳統(tǒng)可編程器件數(shù)有限的缺點(diǎn),又具有可編程的特點(diǎn)。FPGA是由存放在片內(nèi)RAM中的程序來設(shè)置其工作狀態(tài)的,因此,工作時(shí)需要對片內(nèi)的RAM進(jìn)行編程。用戶可以根據(jù)不同的配置模式,采用不同的編程方式。2.2.2 FPGA的優(yōu)點(diǎn)
目前的FPGA已遠(yuǎn)超出先前產(chǎn)品的基本功能,并且整合了許多常用功能(如RAM、時(shí)鐘管理和DSP),在新型的的系統(tǒng)級可編程邏輯器件中還可以以IP核的形式來進(jìn)行功能設(shè)計(jì),或許只需要一塊FPGA芯片就可以實(shí)現(xiàn)所有功能,成為片上系統(tǒng)。FPGA運(yùn)行速度快,內(nèi)部集成鎖項(xiàng)環(huán),可以把外部時(shí)鐘倍頻,核心頻率可以到幾百M(fèi),克服了單片機(jī)在高速場合中運(yùn)行速度低的缺點(diǎn)。
《數(shù)字電子技術(shù)》課程設(shè)計(jì) 設(shè)計(jì)思路及運(yùn)行流程
3.1 電梯主要功能
(1)每層電梯處設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有乘客到達(dá)層次的停站請求開關(guān)。(2)設(shè)有電梯所處位置指示裝置及電梯運(yùn)行情況指示。(3)電梯的初始狀態(tài)為第一樓層。3.2電梯運(yùn)行設(shè)計(jì)思路
實(shí)現(xiàn)此系統(tǒng)分為三個(gè)模塊,分別為總控制模塊、電梯位置顯示的譯碼模塊,及電梯運(yùn)行狀態(tài)顯示的譯碼模塊。
我們通過開發(fā)板中的開關(guān)模擬電梯外部和內(nèi)部的樓層上升及下降請求,由數(shù)碼管顯示電梯的位置狀態(tài)及所在樓層層數(shù)。通過LED燈的亮與滅表示電梯的開門狀態(tài)。例:從1樓上至2樓,即需要將開發(fā)板中代表1樓上升請求及3樓停止請求的開關(guān)撥上,則數(shù)碼管顯示01→02。3.3 電梯的運(yùn)行流程
電梯的請求信號劃分為上升信號和下降信號。電梯收到請求信號后,都必需做預(yù)操作。使電梯進(jìn)入預(yù)上升狀態(tài)的請求信號就是上升,得到上升和下降及所到樓層的請求后作出相應(yīng)的動(dòng)作實(shí)現(xiàn)。以下為電梯在各樓層時(shí)的狀態(tài):
當(dāng)電梯在一樓時(shí),不管電梯內(nèi)部還是外部,電梯只能收到上升請求信號,此時(shí)電梯進(jìn)入預(yù)上升狀態(tài),準(zhǔn)備上升,如果沒有收到上升請求,則電梯待在一樓。
當(dāng)電梯在二樓時(shí),如電梯外部內(nèi)部均沒有收到任何請求,電梯將返回一樓待機(jī);如電梯接收到上升請求信號,則進(jìn)入預(yù)上升狀態(tài);如電梯接收到下降請求信號,電梯進(jìn)入預(yù)下降狀態(tài)。當(dāng)電梯在三樓時(shí),電梯只能接收到下降請求信號,此時(shí)電梯進(jìn)入預(yù)下降狀態(tài),準(zhǔn)備下降。流程圖如(圖3.1)所示
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
圖3.1電梯運(yùn)行流程圖
3.4 模塊介紹
3.4.1 電梯控制模塊介紹
控制模塊代碼見(附錄一),原理圖如(圖3.2)具體引腳分配見(附錄二)
圖3.2 電梯頂層模塊
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
開關(guān)輸入引腳:
“REST”是復(fù)位開關(guān),實(shí)現(xiàn)電梯無請求時(shí)手動(dòng)復(fù)位至1樓的功能; “LIFTCLK”是時(shí)鐘脈沖;
“F1UPBUTTON”是1樓上升的請求開關(guān),實(shí)現(xiàn)產(chǎn)生1樓有上升請求; “F2UPBUTTON”是2樓上升的請求開關(guān),實(shí)現(xiàn)產(chǎn)生2樓有上升請求; “F2DNBUTTON”是2樓下降的請求開關(guān),實(shí)現(xiàn)產(chǎn)生2樓有下降請求; “F3DNBUTTON”是3樓下降的請求開關(guān),實(shí)現(xiàn)產(chǎn)生3樓有下降請求;
“STOP1~3BUTTON”是1~3樓停止的請求開關(guān),實(shí)現(xiàn)產(chǎn)生到1~3樓時(shí)停止的請求; 數(shù)碼管輸出引腳:
“jm[6..0]”是電梯所在位置顯示引腳; “l(fā)ed[6..0]”是電梯上升下降狀態(tài)顯示。LED燈輸出引腳:
“DOORLIGHT”時(shí)開門燈顯示引腳。3.4.2譯碼器模塊介紹
(1)樓層上升及下降狀態(tài)譯碼器模塊,樓層上升及下降狀態(tài)顯示如(圖3.3):
圖3.3上升及下降狀態(tài)顯示譯碼模塊
“SEG”表示輸入一個(gè)1位二進(jìn)制數(shù)0或1;
“Q3[6..0]”引腳分別輸出7位二進(jìn)制:0000001和1001111即顯示為0和1。(2)樓層樓層位置顯示譯碼器模塊原理圖如(圖3.4):
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
圖3.4樓層樓層位置顯示譯碼器模塊
SEG[2..1]表示輸入一個(gè)2位二進(jìn)制數(shù)01、10、11;
“ Q3[6..0]”引腳分別輸出7位二進(jìn)制: 1001111顯示為1,0010010顯示為2,0000110顯示為3。3.4.3 總模塊介紹
圖3.5 電梯主控系統(tǒng)和譯碼器模塊連接圖
控制模塊的下降信號輸出作為運(yùn)行狀態(tài)譯碼模塊的輸入,控制模塊的位置輸出作為電梯位置顯示譯碼模塊的輸入。
《數(shù)字電子技術(shù)》課程設(shè)計(jì) 各模塊功能仿真圖
4.1 電梯控制模塊功能仿真
圖4.1電梯控制模塊功能仿真
上圖表示:電梯從1樓上至3樓再下至2樓的情況 波形說明:
步驟1:給予2樓上升請求一個(gè)脈沖 步驟2:給予3樓停一個(gè)脈沖 步驟3:給予2樓上升請求一個(gè)脈沖 步驟4:
下降輸出信號UDSIG在電梯響應(yīng)下降至2樓時(shí)為1表示下降其余為0上升; 開門燈DOORLIGHT在1樓和到3樓及2樓時(shí)為1表示亮; 電梯位置顯示POSITION相應(yīng)顯示1→2→3→2。以上仿真與所要求情況一致。
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
4.2 譯碼模塊功能仿真
圖4.2譯碼器功能仿真
上圖表示:(譯碼顯示的是數(shù)碼管的引腳,低電平為亮,高電平為不亮)Jm分別為:1001111→0010010→0000110→0010010即1→2→3→2符合電梯所在位置顯示。
Led分別為:0000000→0000001即0→1上升到下降符合電梯運(yùn)行狀態(tài)。
《數(shù)字電子技術(shù)》課程設(shè)計(jì) 實(shí)物展示 電梯初始狀態(tài)如圖(5.1)
圖5.1電梯初始狀態(tài)
說明:上圖顯示01,即電梯處于1樓待上升狀態(tài)。當(dāng)沒有任何請求時(shí)電梯則自動(dòng)復(fù)位至此狀態(tài) 電梯1樓上升至3樓模擬如圖(5.2)
圖5.2 電梯1樓上至3樓
說明:要實(shí)現(xiàn)電梯從1樓上升至3樓則需要F1UPBUTTON即開關(guān)SW3為高電平,STOP3BUTTON即開關(guān)SW9為高電平,同時(shí)BUTTONCLK即開關(guān)SW2為高電平,《數(shù)字電子技術(shù)》課程設(shè)計(jì)
手動(dòng)撥動(dòng)LIFTCLK即開關(guān)SW1一次上升一層樓。圖示為電梯已經(jīng)上至三樓的狀態(tài)。DOORLIGHT為高電平即LED燈亮表示電梯開門。3 電梯3樓下降至1樓模擬如圖(5.3a)、圖(5.2b)
圖5.3(a)電梯處于3樓待下降狀態(tài)
說明:電梯要實(shí)現(xiàn)從3樓下降至1樓則需要3DNBUTTON即SW6為高電平,STOP1BUTTON為高電平,同時(shí)BUTTONCLK即開關(guān)SW2為高電平,手動(dòng)撥動(dòng)LIFTCLK即開關(guān)SW1一次下降一層樓。圖示為電梯在3樓待下降的狀態(tài)。
圖5.3(b)電梯已下降至1樓的狀態(tài)
說明:撥LIFTCLK兩次電梯已下降至1樓的狀態(tài)。DOORLIGHT為高電平即LED燈亮表示電梯開門。
《數(shù)字電子技術(shù)》課程設(shè)計(jì) 心得體會(huì)
兩周的課程設(shè)計(jì)實(shí)際的操作了整套電梯控制的設(shè)計(jì),從剛開始的開題報(bào)告,到著手編寫代碼、連接模塊原理圖、仿真、分配引腳到最后下載到開發(fā)板驗(yàn)證。在課程設(shè)計(jì)前都是進(jìn)行某個(gè)部分的操作,經(jīng)過此次整套程序下來對FPGA及VHDL語言都有了更深的認(rèn)識(shí)。
開始面對著書上的大段大段代碼感到恐懼,但通過之后的一點(diǎn)一點(diǎn)輸入修改,特別是在代碼修改中體會(huì)到了耐心及細(xì)心的重要性,例如一個(gè)標(biāo)題不吻合或少個(gè)引號在句末少個(gè)分號這些看似簡單甚至不起眼的符號都足以讓我們頭疼找半天,但是隨著這些錯(cuò)誤的逐個(gè)排解也會(huì)產(chǎn)生極大的喜悅感。從剛開始的看著代碼什么都不懂,到最后能夠看懂一點(diǎn),真的有很大的收獲。剛開始還算比較順利,但在譯碼模塊出現(xiàn)了許多問題,不斷的仿真失敗、下載失敗,不斷的嘗試最后能夠成功的實(shí)現(xiàn)電梯控制的基本功能是這兩周來和我的隊(duì)友共同努力的結(jié)果。同時(shí)還學(xué)到了再完成一項(xiàng)任務(wù)時(shí)應(yīng)該如何與自己的隊(duì)友合作完成,要有自己的想法但也要善于嘗試隊(duì)友的建議。不論最后的成績?nèi)绾?,都是自己努力來的成果?/p>
《數(shù)字電子技術(shù)》課程設(shè)計(jì) 謝 辭
在取得這些成果的同時(shí)當(dāng)然少不了兩位老師的辛苦指導(dǎo),兩位老師在進(jìn)行其他班級正常授課的同時(shí)還要過來指導(dǎo)我們完成課程設(shè)計(jì),要較于平時(shí)辛苦很多。有幾次同學(xué)的代碼總是修改不成功,周老師在下班的時(shí)間依舊幫助他查找錯(cuò)誤幫忙修改。上課時(shí)不停的有人喊“老師””老師這邊”,你們依舊可以耐心的為我們一一解釋錯(cuò)誤的原因并指導(dǎo)我們解決那些問題。
在這里衷心的感謝兩位老師為我們的付出。
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
參考文獻(xiàn)
[1]朱正偉,王其紅,韓學(xué)超.EDA技術(shù)及應(yīng)用(第二版)[M].北京:清華大學(xué)出版社,2013.3:p315-323
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
附錄一
總程序模塊: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY THREEFLIFT IS PORT(BUTTONCLK: IN STD_LOGIC;LIFTCLK: IN STD_LOGIC;RESET: IN STD_LOGIC;F1UPBUTTON: IN STD_LOGIC;F2UPBUTTON: IN STD_LOGIC;F2DNBUTTON: IN STD_LOGIC;F3DNBUTTON: IN STD_LOGIC;FUPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);FDNLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);STOP1BUTTON,STOP2BUTTON,STOP3BUTTON: IN STD_LOGIC;STOPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);POSITION: BUFFER INTEGER RANGE 1 TO 3;DOORLIGHT: OUT STD_LOGIC;UDSIG: BUFFER STD_LOGIC);END THREEFLIFT;ARCHITECTURE a OF THREEFLIFT IS TYPE LIFT_STATE IS(STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DOORWAIT3,DOORWAIT4,UP,DOWN,STOP);SIGNAL MYLIFT: LIFT_STATE;SIGNAL CLEARUP: STD_LOGIC;SIGNAL CLEARDN: STD_LOGIC;
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
BEGIN CTRLIFT: PROCESS(RESET,LIFTCLK)VARIABLE POS: INTEGER RANGE 3 DOWNTO 1;BEGIN IF RESET='1' THEN MYLIFT<=STOPON1;CLEARUP<='0';CLEARDN<='0';ELSE IF LIFTCLK'EVENT AND LIFTCLK='1' THEN CASE MYLIFT IS WHEN STOPON1=> DOORLIGHT<='1';POSITION<=1;POS:=1;MYLIFT<=DOORWAIT1;WHEN DOORWAIT1=> MYLIFT<=DOORWAIT2;WHEN DOORWAIT2=> CLEARUP<='0';CLEARDN<='0';MYLIFT<=DOORWAIT3;WHEN DOORWAIT3=> MYLIFT<=DOORWAIT4;WHEN DOORWAIT4=> MYLIFT<=DOORCLOSE;WHEN DOORCLOSE=> DOORLIGHT<='0';IF UDSIG='0' THEN IF POSITION=3 THEN
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;ELSIF POSITION=2 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(3)='1' OR(STOPLIGHT(3)='0' AND FDNLIGHT(3)='1')THEN UDSIG<='0';MYLIFT<=UP;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;ELSIF POSITION=1 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';MYLIFT<=DOORCLOSE;ELSE UDSIG<='0';MYLIFT<=UP;END IF;END IF;ELSIF UDSIG='1' THEN IF POSITION=1 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
MYLIFT<=DOORCLOSE;ELSE UDSIG<='0';MYLIFT<=UP;END IF;ELSIF POSITION=2 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(1)='1' OR(STOPLIGHT(1)='0' AND FDNLIGHT(1)='1')THEN UDSIG<='1';MYLIFT<=DOWN;ELSE UDSIG<='0';MYLIFT<=UP;END IF;ELSIF POSITION=3 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;END IF;END IF;WHEN UP=> POSITION<=POSITION+1;POS:=POS+1;IF POS<3 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSIF POS=3 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
ELSE THEN MYLIFT<=STOP;MYLIFT<=DOORCLOSE;END IF;WHEN DOWN=> POSITION<=POSITION-1;POS:=POS-1;IF POS>1 AND(STOPLIGHT(POS)='1' OR FUPLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSIF POS=1 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSE MYLIFT<=DOORCLOSE;END IF;WHEN STOP=> MYLIFT<=DOOROPEN;WHEN DOOROPEN=> DOORLIGHT<='1';IF UDSIG='0' THEN IF POSITION<=2 AND(STOPLIGHT(POSITION)='1' OR FUPLIGHT(POSITION)='1')THEN CLEARUP<='1';ELSE CLEARUP<='1';CLEARDN<='1';END IF;ELSIF UDSIG='1' THEN IF POSITION>=2 AND(STOPLIGHT(POSITION)='1' OR fDNLIGHT(POSITION)='1')THEN CLEARDN<='1';
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
ELSE CLEARUP<='1';CLEARDN<='1';END IF;END IF;MYLIFT<=DOORWAIT1;END CASE;END IF;END IF;END PROCESS CTRLIFT;CTRLIGHT: PROCESS(RESET,BUTTONCLK)BEGIN IF RESET='1' THEN STOPLIGHT<=“000”;FUPLIGHT<=“000”;FDNLIGHT<=“000”;ELSE
IF BUTTONCLK'EVENT AND BUTTONCLK='1' THEN IF CLEARUP='1' THEN STOPLIGHT(POSITION)<='0';FUPLIGHT(POSITION)<='0';
ELSE
IF F1UPBUTTON='1' THEN FUPLIGHT(1)<='1';
ELSIF F2UPBUTTON='1' THEN FUPLIGHT(2)<='1';END IF;END IF;IF CLEARDN='1' THEN
STOPLIGHT(POSITION)<='0';FDNLIGHT(POSITION)<='0';
ELSE
IF F2DNBUTTON='1' THEN FDNLIGHT(2)<='1';ELSIF F3DNBUTTON='1' THEN FDNLIGHT(3)<='1';END IF;END IF;IF STOP1BUTTON='1' THEN STOPLIGHT(1)<='1';
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
ELSIF STOP2BUTTON='1' THEN STOPLIGHT(2)<='1';ELSIF STOP3BUTTON='1' THEN STOPLIGHT(3)<='1';END IF;END IF;END IF;END PROCESS ctrlight;END a;顯示電梯上下狀態(tài)的譯碼模塊: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ssxx IS PORT(SEG: IN STD_LOGIC;Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END ssxx;ARCHITECTURE ART OF ssxx IS BEGIN PROCESS(SEG)BEGIN CASE SEG IS WHEN '0' => Q3<=“0000001”;WHEN '1' => Q3<=“1001111”;WHEN OTHERS => Q3<=“1111111”;END CASE;END PROCESS;END ART;顯示電梯所在位置的譯碼模塊: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY shuzi IS PORT(SEG: IN STD_LOGIC_VECTOR(2 DOWNTO 1);Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END shuzi;ARCHITECTURE ART OF shuzi IS BEGIN PROCESS(SEG)BEGIN CASE SEG IS WHEN “01” => Q3<=“1001111”;WHEN “10” => Q3<=“0010010”;WHEN “11” => Q3<=“0000110”;WHEN OTHERS => Q3<=“1111111”;END CASE;END PROCESS;END ART;
《數(shù)字電子技術(shù)》課程設(shè)計(jì)
附錄二
圖9.1輸入引腳分配
上圖為所有模塊中的輸入引腳分配圖。
圖9.2輸出引腳分配
上圖為所有模塊中的輸出引腳分配圖。
開關(guān)和數(shù)碼管對應(yīng)引腳及功能在3.3模塊介紹中已說明。
第二篇:三層電梯實(shí)訓(xùn)報(bào)告
三層電梯實(shí)訓(xùn)報(bào)告
摘要
20世紀(jì)60年代末,為了克服傳統(tǒng)繼電器的種種應(yīng)用上的缺點(diǎn),人們研制出了一種先進(jìn)的可編程序控制器PLC(Programmable logic Controller),由于PLC具有優(yōu)良的技術(shù)性能,因此它一問世就很快得到了推廣應(yīng)用。隨著微電子技術(shù)和計(jì)算機(jī)技術(shù)的迅速發(fā)展,PLC在工業(yè)控制領(lǐng)域內(nèi)得到廣泛的應(yīng)用愈加明顯。
PLC是一種基于數(shù)字計(jì)算機(jī)技術(shù),專為在工業(yè)環(huán)境下應(yīng)用而設(shè)計(jì)的電子控制裝置,它采用可編程程序的存儲(chǔ)器,用來存儲(chǔ)用戶指令,通過數(shù)字或模擬的輸入/輸出,完成一系列邏輯、順序、定時(shí)、記數(shù)、運(yùn)算等確定的功能,來控制各種類型的機(jī)電一體化設(shè)備和生產(chǎn)過程。具體來講PLC主要具有以下的特點(diǎn):(1)可靠性高,抗干擾能力強(qiáng);(2)(2)編程方法簡單、直觀;(3)體積小、耗能低、重量輕;
(4)硬件配套齊全,用戶使用方便,適應(yīng)性強(qiáng);(5)系統(tǒng)的設(shè)計(jì)/安裝、調(diào)試工作量少;(6)維修工作量小、維護(hù)方便;(7)接口模塊功能強(qiáng)、品種多。
PLC在電梯控制上的應(yīng)用主要體現(xiàn)在它的邏輯開關(guān)控制功能。由于PLC具有邏輯運(yùn)算,計(jì)數(shù)和定時(shí)以及數(shù)據(jù)輸入輸出的功能。在電梯控制過程中,各種邏輯開關(guān)控制與PLC很好的結(jié)合,很好的實(shí)現(xiàn)了對電梯的控制。
本論文是以三菱廣泛應(yīng)用的整體中型機(jī)FX2N-128為背景機(jī),詳細(xì)介紹其系統(tǒng)配置,兼顧介紹,指令系統(tǒng),編程方法和控制系統(tǒng)設(shè)計(jì)方法,同時(shí)也介紹了模塊式PLC的一些智能單元。本人畢業(yè)設(shè)計(jì)的電梯包括電梯自動(dòng)運(yùn)行、消防運(yùn)行、PLC綜合控制三個(gè)系統(tǒng)。論文對PLC的結(jié)構(gòu)、特點(diǎn)、性能以及與現(xiàn)場控制對象的連線進(jìn)行了具體的研究,并通過PLC實(shí)現(xiàn)了電梯的自動(dòng)控制以及消防運(yùn)行。通過此次畢業(yè)設(shè)計(jì),提高了我們運(yùn)用理論知識(shí),分析、處理和解決實(shí)際問題的綜合能力
第三篇:基于西門子PLC s7-300的三層電梯課程設(shè)計(jì)報(bào)告
目錄
一、引言?????????????????????????????????2
1、設(shè)計(jì)目的??????????????????????????????????2
2、設(shè)計(jì)任務(wù)??????????????????????????????????2
3、設(shè)計(jì)內(nèi)容??????????????????????????????????2
4、設(shè)計(jì)意義??????????????????????????????????2
二、基礎(chǔ)知識(shí) ??????????????????????????????3
1、PLC的工作原理???????????????????????????????3
2、STEP7的簡介????????????????????????????????4
三、系統(tǒng)的設(shè)計(jì)準(zhǔn)備??????????????????????????6
1、電梯控制示意圖???????????????????????????????6
2、系統(tǒng)流程圖?????????????????????????????????6
四、系統(tǒng)的硬件設(shè)計(jì) ?????????????????????????9
1、PLC硬件配置說明??????????????????????????????9
2、PLC的I/O地址分配表????????????????????????????9
3、交通燈控制系統(tǒng)的I/O接線圖?????????????????????????10 五、三層電梯控制系統(tǒng)的軟件設(shè)計(jì)????????????????11
1.樓層呼叫 ??????????????????????????????????11 2.轎廂停止控制 ????????????????????????????????12 3.電梯上下行 ?????????????????????????????????12 4.轎廂開門關(guān)門 ????????????????????????????????13 5.樓層顯示 ??????????????????????????????????15
六、軟件的調(diào)試與仿真????????????????????????16
1.調(diào)試步驟 ??????????????????????????????????16 2.調(diào)試結(jié)果 ??????????????????????????????????16
七、總結(jié)?????????????????????????????????20
八、心得體會(huì)??????????????????????????????21
九、參考文獻(xiàn)??????????????????????????????22
一、引言
1、設(shè)計(jì)目的
(1)熟練使用西門子公司的S7-300系列產(chǎn)品各基本指令和部分應(yīng)用指令,根據(jù)控制要求進(jìn)行PLC梯形圖編程。
(2)進(jìn)一步熟悉PLC的I/O連接。
(3)熟悉三層樓電梯采用轎廂內(nèi)外按鈕的編程方法
2、設(shè)計(jì)任務(wù)
電梯由安裝在各樓層廳門口的上升和下降呼叫按鈕進(jìn)行呼叫操作,其操縱內(nèi)容為電梯運(yùn)行方向。電梯轎廂內(nèi)設(shè)有樓層內(nèi)選按鈕S1~S3,用以選擇需停靠的樓層。L1為一層指示,L2為二層指示,L3為三層指示,SQ1~SQ3為到位行程開關(guān)。電梯上升途中只響應(yīng)上升呼叫,下降途中只響應(yīng)下降呼叫,任何反方向的呼叫均無效。
3、設(shè)計(jì)內(nèi)容
電梯處于基站,關(guān)門等運(yùn)行狀態(tài)時(shí),此時(shí)按基站外呼按鈕,信號經(jīng)按鈕傳輸?shù)絇LC,經(jīng)PLC判斷為本層開門,再將信號傳輸?shù)介_關(guān)門電動(dòng)機(jī)。輸出開門信號,電梯開門。
人進(jìn)入轎廂后,經(jīng)延時(shí),電梯自動(dòng)關(guān)門。也可按關(guān)門按鈕,使電梯提前關(guān)門。如果轎內(nèi)指令選第幾層按鈕,則指令經(jīng)串行傳輸?shù)絇LC上,顯示屏上的對應(yīng)層發(fā)光二極管閃亮,當(dāng)手離開按鈕后,信號被登記,電梯開始運(yùn)行。PLC核實(shí)信號后,可將運(yùn)行信號傳輸?shù)礁鱾€(gè)工作部位并發(fā)出運(yùn)行指令。電梯開始按給定曲線運(yùn)行,其給定速度信號不斷與速度反饋信號比較,不斷校正,使電梯運(yùn)行的速度曲線盡量符合理想的運(yùn)行曲線,使電梯運(yùn)行平穩(wěn)。
運(yùn)行過程中,井道中的轎廂位置傳感繼電器每過一個(gè)隔磁板即核對一次運(yùn)行位置,并將信號輸入PLC與其中記憶的位置和旋轉(zhuǎn)編碼器發(fā)回的脈沖數(shù)量核對,三個(gè)信號核對無誤后電梯繼續(xù)運(yùn)行。電梯每到一個(gè)隔磁板,門區(qū)繼電器即吸合一次,層樓指示便變化一次。
運(yùn)行過程中PLC里的“先行樓層”不斷尋索樓層呼梯指令信號。當(dāng)“先行樓層”導(dǎo)索到呼梯指令后,上到站鐘GU或下到站鐘GD發(fā)出到站鐘聲,經(jīng)延時(shí),電腦發(fā)出換速信號,電梯開始減速運(yùn)行。當(dāng)隔磁板插入平層感應(yīng)器時(shí),電梯進(jìn)一步減速進(jìn)入爬行。當(dāng)轎廂到達(dá)平層位置后,接觸器斷電,電梯停止運(yùn)行。制動(dòng)系統(tǒng)工作,電梯停穩(wěn)。
電梯停穩(wěn)后,發(fā)出開門信號,電梯開門。經(jīng)延時(shí),關(guān)門時(shí)間到,發(fā)出關(guān)門信號,電梯又開始關(guān)門。電梯門關(guān)好后,其運(yùn)行方向按轎內(nèi)指令和廳外召喚與轎廂的相對位置而定。如沒有任何指令,電梯就地待命。
4、設(shè)計(jì)意義
隨著城市建設(shè)的不斷發(fā)展,高層建筑的不斷增多,電梯作為高層建筑中垂直運(yùn)行的交通工具已與人們的日常生活密不可分。
電梯就是用于高層建筑物中的固定式升降運(yùn)輸設(shè)備,它有一個(gè)裝載乘客的轎廂,沿著垂直或傾斜角度小于15°的導(dǎo)軌在各樓層間運(yùn)行,是垂直運(yùn)行的電梯、傾斜方向運(yùn)行的自動(dòng)扶梯、傾斜或水平方向運(yùn)行的自動(dòng)人行道的總稱。隨著城市建設(shè)的不斷發(fā)展,高層建筑不斷增多,電梯在國民經(jīng)濟(jì)和生活中有著廣泛的應(yīng)用。電梯作為高層建筑中垂直運(yùn)行的交通工具已與人們的日常生活密不可分。有了電梯,摩天大樓才得以崛起,現(xiàn)代城市才得以長高。據(jù)估計(jì),截至2002年,全球在用電梯約635萬臺(tái),其中垂直電梯約610萬臺(tái),自動(dòng)扶梯和自動(dòng)人行道約25萬臺(tái)。電梯已成為人類現(xiàn)代生活中廣泛使用的人員運(yùn)輸工具。人們對電梯安全性、高效性、舒適性的不斷追求推動(dòng)了電梯技術(shù)的進(jìn)步。如今,世界各國的電梯公司還在不斷地進(jìn)行電梯新品的研發(fā)、維修保養(yǎng)服務(wù)系統(tǒng)的完善,力求滿足人們的對現(xiàn)代建筑交通日益增長的需求。
二、基礎(chǔ)知識(shí)
1、PLC的結(jié)構(gòu)
PLC 實(shí)質(zhì)是一種專用于工業(yè)控制的計(jì)算機(jī)其硬件結(jié)構(gòu)基本上與微型計(jì)算機(jī)從結(jié)構(gòu)上分,PLC分為固定式和組合式(模塊式)兩種。固定式PLC包括CPU板、I/O板、顯示面板、內(nèi)存塊、電源等,這些元素組合成一個(gè)不可拆卸的整體。模塊式PLC包括CPU模塊、I/O模塊、內(nèi)存、電源模塊、底板或機(jī)架,這些模塊可以按照一定規(guī)則組合配置。
圖2.12、PLC的工作原理
當(dāng)PLC投入運(yùn)行后,其工作過程一般分為三個(gè)階段,即輸入采樣、用戶程序執(zhí)行和輸出刷新三個(gè)階段。完成上述三個(gè)階段稱作一個(gè)掃描周期。在整個(gè)運(yùn)行期間,PLC的CPU以一定的掃描速度重復(fù)執(zhí)行上述三個(gè)階段。
1.輸入采樣階段 在輸入采樣階段,PLC以掃描方式依次地讀入所有輸入狀態(tài)和數(shù)據(jù),并將它們存入I/O映象區(qū)中的相應(yīng)得單元內(nèi)。輸入采樣結(jié)束后,轉(zhuǎn)入用戶程序執(zhí)行和輸出刷新階段。在這兩個(gè)階段中,即使輸入狀態(tài)和數(shù)據(jù)發(fā)生變化,I/O映象區(qū)中的相應(yīng)單元的狀態(tài)和數(shù)據(jù)也不會(huì)改變。因此,如果輸入是脈沖信號,則該脈沖信號的寬度必須大于一個(gè)掃描周期,才能保證在任何情況下,該輸入均能被讀入。
2.用戶程序執(zhí)行階段 在用戶程序執(zhí)行階段,PLC總是按由上而下的順序依次地掃描用戶程序(梯形圖)。在掃描每一條梯形圖時(shí),又總是先掃描梯形圖左邊的由各觸點(diǎn)構(gòu)成的控制線路,并按先左后右、先上后下的順序?qū)τ捎|點(diǎn)構(gòu)成的控制線路進(jìn)行邏輯運(yùn)算,然后根據(jù)邏輯運(yùn)算的結(jié)果,刷新該邏輯線圈在系統(tǒng)RAM存儲(chǔ)區(qū)中對應(yīng)位的狀態(tài);或者刷新該輸出線圈在I/O映象區(qū)中對應(yīng)位的狀態(tài);或者確定是否要執(zhí)行該梯形圖所規(guī)定的特殊功能指令。
3.輸出刷新階段
當(dāng)掃描用戶程序結(jié)束后,PLC就進(jìn)入輸出刷新階段。在此期間,CPU按照I/O映象區(qū)內(nèi)對應(yīng)的狀態(tài)和數(shù)據(jù)刷新所有的輸出鎖存電路,再經(jīng)輸出電路驅(qū)動(dòng)相應(yīng)的外設(shè)。
PLC的掃描工作過程如圖2-
2、圖2-3所示 :
圖2.2 PLC的掃描工作過程圖
圖2.3 PLC的掃描周期
3、STEP7的簡介
STEP7 編程軟件是一個(gè)用于SIMATIC 可編程邏輯控制器的組態(tài)和編程的標(biāo)準(zhǔn)軟件包。STEP7 標(biāo)準(zhǔn)軟件包中提供一系列的應(yīng)用工具,如:SIMATIC 管理器、符號編輯器、硬件診斷、編程語言、硬件組態(tài)、網(wǎng)絡(luò)組態(tài)等。STEP7 編程軟件可以對硬件和網(wǎng)絡(luò)實(shí)現(xiàn)組態(tài),具有簡單、直觀、便于修改等特點(diǎn)。該軟件提供了在線和離線編程的功能,可以對PLC 在線上載或下載。利用STEP7 可以方便地創(chuàng)
建一個(gè)自動(dòng)化解決方案。圖2.4為創(chuàng)建一個(gè)自動(dòng)化項(xiàng)目的基本步驟 圖2.4 創(chuàng)建一個(gè)自動(dòng)化項(xiàng)目 項(xiàng)目可用來存儲(chǔ)為自動(dòng)化任務(wù)解決方案而生成的數(shù)據(jù)和程序。這些數(shù)據(jù)包括:硬件結(jié)構(gòu)的組態(tài)數(shù)據(jù)及模板參數(shù);網(wǎng)絡(luò)通訊的組態(tài)數(shù)據(jù)以及為可編程模板編制的程序。它們都被收集在一個(gè)項(xiàng)目下。在生成一個(gè)項(xiàng)目后,先插入站,然后可以組態(tài)硬件。在組態(tài)硬件時(shí),可以借助于模板樣本對可編程控制器中的CPU 及各模板進(jìn)行定義,通過雙擊站來啟動(dòng)硬件組態(tài)的應(yīng)用程序。一旦存儲(chǔ)并退出硬件組態(tài),對于在組態(tài)中生成的每一個(gè)可編程模板,都會(huì)自動(dòng)生成S7/M7 程序及空的連接表。連接表可用來定義網(wǎng)絡(luò)中可編程模板之間的通訊連接。硬件組態(tài)完成后就可為編程模板生成軟件。為可編程模板編制的軟件存儲(chǔ)在對象文件夾中。對該對象文件夾稱作“S7-Program”。在子菜單中,可以選擇想要生成的塊的類型(如:數(shù)據(jù)塊,用戶定義的數(shù)據(jù)類型,功能,功能塊,組織塊或變量表)。打開一個(gè)空的塊,然后用語句表,梯形圖或功能圖輸入程序。在完成組態(tài),參數(shù)賦值,程序創(chuàng)建和建立在線連接后,可以下載整個(gè)用戶程序或個(gè)別塊到一個(gè)可編程序控制器。在下載完整的或部分用戶程序到CPU 之前,把工作方式從RUN 模式置到STOP 模式??梢酝ㄟ^在線連接下載各個(gè)塊或整個(gè)用戶程序到RAM。當(dāng)電源關(guān)斷后和CPU 復(fù)位時(shí),保存在他們上面的數(shù)據(jù)將被保留。另外,可以從可編程控制器中上載一個(gè)工作站,或從一個(gè)S7 CPU 中上載塊到PG/PC。這樣,當(dāng)出現(xiàn)故障而不能訪問到程序文檔的符號或注釋時(shí),就可以在PG/PC 中編輯它。用于S7-300 的編程語言有:梯形圖(LAD),語句表(STL)和功能塊圖(FBD)。LAD 是STEP7 編程語言的圖形表達(dá)方式。它的指令語法與一個(gè)繼電器梯形邏輯圖相似:當(dāng)電信號通過各個(gè)觸點(diǎn)復(fù)合元件以及輸出線圈時(shí),梯形圖可以讓你追蹤電信號在電源示意線之間的流動(dòng)。STL 是STEP7 編程語言的文本表達(dá)方式,與機(jī)器碼相似,CPU 執(zhí)行程序時(shí)按每一條指令一步一步地執(zhí)行。FBD 是STEP7 編程語言的圖形表達(dá)方式,使用與布爾代數(shù)相類似的邏輯框來表達(dá)邏輯。STEP7 編程軟件允許結(jié)構(gòu)化用戶程序,可以將程序分解為單個(gè)的自成體系的程序部分。從而使大規(guī)模的程序更容易理解,可以對單個(gè)的程序部分進(jìn)行標(biāo)準(zhǔn)化。程序組織簡化,修改更容易。系統(tǒng)的調(diào)試也容易了許多。在S7 用戶程序中可以使用如下幾種不同類型的塊:組織塊(OB)是操作系統(tǒng)和用戶程序的接口。它們由操作系統(tǒng)調(diào)用,并控制循環(huán)和中斷驅(qū)動(dòng)程序的執(zhí)行,以及可編程控制器如何啟動(dòng)。它們還處理對錯(cuò)誤的響應(yīng)。組織塊決定各個(gè)程序部分執(zhí)行的順序。用于循環(huán)程序處理的組織塊OB1 的優(yōu)先級最高。操作系統(tǒng)循環(huán)調(diào)用OB1 并用這個(gè)調(diào)用啟動(dòng)用戶程序的循環(huán)執(zhí)行。功能(FC)屬于用戶自己編程的塊。功能是“無存儲(chǔ)區(qū)”的邏輯塊。FC 的臨時(shí)變量存儲(chǔ)在局域數(shù)據(jù)堆棧中,當(dāng)FC 執(zhí)行結(jié)束后,這些數(shù)據(jù)就丟失了。功能塊(FB)屬于用戶自己編程的塊。功能塊是具有“存儲(chǔ)功能”的塊。用數(shù)據(jù)塊作為功能塊的存儲(chǔ)器(背景數(shù)據(jù)塊)。傳遞給FB 的參數(shù)和靜態(tài)變量存在背景數(shù)據(jù)塊中。背景數(shù)據(jù)塊(背景DB)在每次功能塊調(diào)用時(shí)都要分配一塊給這次調(diào)用,用于傳遞參數(shù)。
系統(tǒng)功能塊(SFB)和系統(tǒng)功能(SFC)是STEP7為用戶提供的己編程好的程序的塊,經(jīng)過測試集成在CPU 中的功能程序庫。SFB 作為操作系統(tǒng)的一部分并不占用程序空間,是具有存儲(chǔ)能力的塊,它需要一個(gè)背景數(shù)據(jù)塊,并須將此塊作為程序的一部分安裝到CPU 中。STEP7 的調(diào)用結(jié)構(gòu)如下圖2.5所示:
圖2.5 STEP7的調(diào)用結(jié)構(gòu)
三、系統(tǒng)的設(shè)計(jì)準(zhǔn)備
1、電梯控制示意圖
圖3.1 電梯控制示意圖
2、系統(tǒng)程序流程圖
圖3.2 樓層顯示
圖3.3 樓層呼叫
圖3.4 轎廂停止控制
圖3.5轎廂上下行
圖3.6轎廂開關(guān)門 四、三層電梯控制系統(tǒng)硬件設(shè)計(jì)
1.PLC的機(jī)型選擇
為了完成設(shè)定的控制要求,主要根據(jù)電梯的控制方式與輸入輸出點(diǎn)數(shù)和占用內(nèi)存多少來確定PLC的機(jī)型。本系統(tǒng)為三層電梯,采用了級選控制方式。
根據(jù)電梯控制特點(diǎn),輸入信號應(yīng)該包括以下幾個(gè)部分:轎廂內(nèi)的樓層選擇按鈕SB1,SB2,SB3,開門按鈕SB4和關(guān)門按鈕SB5,以及安裝于各樓層的電梯停靠位置的三個(gè)傳感器SQ1,SQ2,SQ3,平時(shí)它們?yōu)槌i_,當(dāng)電梯運(yùn)行到平層時(shí)關(guān)閉。所以輸入信號共有八個(gè)。
輸出信號應(yīng)該包括:轎廂內(nèi)呼叫指示信號三個(gè),分別表示一層到三層的呼叫被接收,并在呼叫指令完成后,信號消失;電梯上、下行指示信號有兩個(gè),門電動(dòng)機(jī)開、關(guān)指示信號兩個(gè)。共需要輸出信號7個(gè)。
綜合輸入/輸出點(diǎn)的計(jì)算及要實(shí)現(xiàn)的電梯功能,使用西門子s7-300系列的CPU-315-2DP,有16個(gè)開關(guān)量輸入,16點(diǎn)開關(guān)量輸出,這樣就足以滿足設(shè)計(jì)要求。
2.輸入輸出點(diǎn)分配
該系統(tǒng)占用PLC的15個(gè)I/O口,8個(gè)輸入點(diǎn),7個(gè)輸出點(diǎn),具體的I/O分配表如下表所示
表4.1 I/O分配表
3.系統(tǒng)組態(tài)
圖1 系統(tǒng)組態(tài)圖
3、三層電梯控制系統(tǒng)的I/O接線圖 五、三層電梯控制系統(tǒng)的軟件設(shè)計(jì)
控制系統(tǒng)梯形圖 用“新建項(xiàng)目”向?qū)梢粋€(gè)名為“三層電梯控制軟件”的項(xiàng)目,采用線性化編程,控制軟件用梯形圖編寫,OB1中的程序如下:
一、樓層呼叫
二、轎廂停止控制
三、電梯上下行
四、轎廂開門關(guān)門
五、樓層顯示
六、軟件的調(diào)試與仿真
1、調(diào)試步驟
軟件的調(diào)試是在仿真軟件中實(shí)現(xiàn)的,我們采用S7-PLCSM仿真軟件,仿真步驟如下:
1、打開S7-PLCSM仿真軟件,窗口自動(dòng)出現(xiàn)CPU試圖對象。在CPU視圖對象中點(diǎn)擊STOP小框,靈仿真PLC處于STOP模式,在選擇菜單命令“PLC”/“Download”,將要下載的程序下載到仿真PLC中。
2、執(zhí)行菜單命令“Insert”/“Input Variable”,創(chuàng)建IB字節(jié)的視圖對象,用類似的方法生成輸出字節(jié)QB、定時(shí)器的視圖對象。點(diǎn)擊CPU視圖對象中RUN小框,將仿真PLC至于運(yùn)行狀態(tài)。
3、測試軟件是否運(yùn)行正常。
2、調(diào)試結(jié)果:
(1)調(diào)試界面如下圖
(2)運(yùn)行時(shí),電梯先開門6S后關(guān)門
16(3)電梯原來在一樓,三樓呼叫
(4)電梯到大三樓后,先延時(shí)3s然后開門6s然后關(guān)門
(5)當(dāng)電梯在三樓時(shí),一樓有呼叫,電梯先向下運(yùn)行到一樓,停3s后開門6s,然后關(guān)門
七、總結(jié)
在這次軟件設(shè)計(jì)中遇到了很多實(shí)際性的問題,在實(shí)際設(shè)計(jì)中才發(fā)現(xiàn),只有理論與實(shí)際相結(jié)合,才能更加深入的了解問題。只有了解了實(shí)際與理論知識(shí)并把它們相結(jié)合,才能解決問題。一切問題必須靠自己一點(diǎn)一滴的解決,而且要不斷的更正以前的錯(cuò)誤。設(shè)計(jì)是比較簡單的,主要是解決程序設(shè)計(jì)中的問題,而程序設(shè)計(jì)是一個(gè)很靈活的東西,它反映了你解決問題的邏輯思維和創(chuàng)新能力,它才是一個(gè)設(shè)計(jì)的靈魂所在。因此大部分時(shí)間是用在程序設(shè)計(jì)上面的。
在設(shè)計(jì)過程中,總是遇到這樣或那樣的問題。有時(shí)發(fā)現(xiàn)一個(gè)問題的時(shí)候,需要做大量的工作,找很多資料,花大量的時(shí)間才能解決。但當(dāng)解決了一個(gè)問題時(shí)的那種成就感是用言語無法形容的。
通過這次對停車場車位控制的PLC控制,讓我了解了PLC梯形圖、指令表、外部接線圖有了更好的了解,也讓我了解了關(guān)于PLC設(shè)計(jì)原理。同時(shí)對所學(xué)的知識(shí)得到很大的提高與鞏固。經(jīng)過多次的反復(fù)實(shí)驗(yàn)與分析,可以對電路的原理及功能更加熟悉,同時(shí)提高了設(shè)計(jì)能力與及對電路的分析能力.而且在軟件的編程方面得到更到的提高,對編程能力得到加強(qiáng)。我想通過參加這次的課程設(shè)計(jì),也會(huì)對自己以后的學(xué)習(xí)和工作都有很大的幫助。
八、心得體會(huì)
趙路:經(jīng)過為期不長的軟件設(shè)計(jì)課程,我學(xué)到了很多。剛開始做的時(shí)候,感覺好難,無從入手,自己無法將課堂上學(xué)習(xí)到的知識(shí)運(yùn)用到實(shí)踐。所以就先從選題開始,糾結(jié)了好長時(shí)間,最后在老師的幫助下,擬定了初步的題目和設(shè)計(jì)軟件。緊接著我就開始從網(wǎng)上下載STEP7編程軟件,下載很簡單,但是安裝可把我難為住啦。弄了好幾天晚上才安裝好,雖然花費(fèi)了好長時(shí)間,但是我也收獲可很多。緊接著,我去圖書館借了很多有關(guān)的書籍,開始學(xué)習(xí)S-300的指令系統(tǒng),用了幾天晚上設(shè)計(jì)編寫程序,檢查程序。中間也遇到過好多問題,但是都在隊(duì)友的幫助下解決了。當(dāng)程序完成后,我又學(xué)習(xí)了仿真軟件,把程序進(jìn)行了仿真,但看到程序在仿真軟件上完整的執(zhí)行成功,心情萬分激動(dòng)。這是我第一次自己去主動(dòng)學(xué)習(xí)軟件,運(yùn)用軟件,編寫程序。我也從中間明白了:做事一定要相信自己,努力去做,你一定會(huì)成功的。而且,做事一定要認(rèn)真細(xì)心,我在寫程序時(shí),犯了很多低級的錯(cuò)誤。也造成了很多不必要的麻煩。所以,一定要仔細(xì)。
吳那:經(jīng)過半個(gè)多月的艱苦奮斗,設(shè)計(jì)成果終于出來了,我才松了一口氣,我通過采集資料、進(jìn)行實(shí)際考察后,做出以上設(shè)計(jì)的方法。查找資料也是一件繁瑣的事情,雖說網(wǎng)上有資料但要找到一些真正有用的資料也不是一件容易的事,需要耐心查找。比如,我在查找STEP7軟件時(shí),好多次都因?yàn)闆]有注意,而下載錯(cuò)誤,不適合自己的電腦系統(tǒng),浪費(fèi)了很多時(shí)間。在這次設(shè)計(jì)中我學(xué)會(huì)判斷、取舍相關(guān)知識(shí),對于提高查閱資料的能力也得到了很好的鍛煉。
汪倩文:通過這次設(shè)計(jì),我對PLC設(shè)計(jì)控制有了更深入的的了解,對以前學(xué)的僅限于書本上的PLC知識(shí)又有了一定的新認(rèn)識(shí),溫習(xí)了以前學(xué)的知識(shí),同時(shí)也學(xué)習(xí)新的知識(shí),而且在設(shè)計(jì)的過程中,我們遇到了很多的問題,通過上網(wǎng)采集資料、作出修改,一步步的完成設(shè)計(jì),一次又一次的學(xué)習(xí),我們慢慢地在體會(huì)、感悟,終于領(lǐng)會(huì)到結(jié)果出現(xiàn)時(shí)的那一份喜悅,從撰寫報(bào)告,查找資料,程序設(shè)計(jì),到整理每一個(gè)次的調(diào)試,我們學(xué)會(huì)了細(xì)心和耐心,很多次的成功與失敗更加使我們有決心做好這次設(shè)計(jì)。這次設(shè)計(jì)不僅加深我對plc的認(rèn)識(shí),而且我相信這會(huì)對今后的學(xué)習(xí)工作生活有著積級的影響。而且大大提高了我的動(dòng)手的能力,使我充分體會(huì)到了在創(chuàng)造過程中艱難和成功的喜悅。雖然這個(gè)設(shè)計(jì)還不是很完善、很簡單,但是我在這次設(shè)計(jì)過程中所學(xué)到的東西是這次課程設(shè)計(jì)的最大收獲,是在書本上、題目中永遠(yuǎn)也不能體會(huì)和明白的。
任帥:這次軟件設(shè)計(jì),我主要負(fù)責(zé)審核隊(duì)員整理的結(jié)果,協(xié)助軟件設(shè)計(jì)與調(diào)試。整個(gè)過程中,我學(xué)習(xí)到了很多。跟三個(gè)女同學(xué)一組,我學(xué)習(xí)到了女生的那種細(xì)膩,他們會(huì)注意到很多我沒有注意到的,對我們這次的設(shè)計(jì)提供了很大作用。當(dāng)然在審核中我也發(fā)現(xiàn)了很多錯(cuò)誤,在我們共同的努力下改了過來。最后,我還負(fù)責(zé)了設(shè)計(jì)報(bào)告總體布局的設(shè)計(jì),認(rèn)真安排設(shè)計(jì)報(bào)告的排版,在排版過程中,也糾結(jié)了很久,不過,最后在同學(xué)的協(xié)助下,較完整的完成了任務(wù)。從這次設(shè)計(jì)中,我懂得了一個(gè)團(tuán)隊(duì)的重要性:既然我們是一個(gè)團(tuán)隊(duì),那么我們就應(yīng)該共同努力,共同奮斗。
九、參考文獻(xiàn)
[1].趙巖著.S7-300/400系列PLC入門及應(yīng)用實(shí)例.北京:電子工業(yè)出版社.2012年4月 [2].李明河著.可編程控制原理與應(yīng)用.第一版.合肥工業(yè)大學(xué)出版社.2009年1月 [3].常斗南主編 可編程序控制器原理*應(yīng)用*實(shí)驗(yàn).北京:機(jī)械工業(yè)出版社,2005 [4].張萬忠《可編程控制器入門與應(yīng)用實(shí)例》北京:中國電力出版社2004 [5].廖常初等.PLC的順序控制編程方法.工業(yè)自動(dòng)化.1997 [6]趙景波等西門子S-300/400PLC快速入門手冊.化學(xué)工業(yè)出版社.2012.5 [7]劉華波等西門子S-7300/400PLC編程與應(yīng)用.2010
第四篇:FPGA搶答器設(shè)計(jì)報(bào)告
Vb開辦上海電力學(xué)院
課程設(shè)計(jì)報(bào)告
信息工程系
搶答器設(shè)計(jì)報(bào)告
一、設(shè)計(jì)目的:
本課程的授課對象是電子科學(xué)與技術(shù)專業(yè)本科生,是電子類專業(yè)的一門重要的實(shí)踐課程,是理論與實(shí)踐相結(jié)合的重要環(huán)節(jié)。
本課程有助于培養(yǎng)學(xué)生的數(shù)字電路設(shè)計(jì)方法、掌握模塊劃分、工程設(shè)計(jì)思想與電路調(diào)試能力,為以后從事各種電路設(shè)計(jì)、制作與調(diào)試工作打下堅(jiān)實(shí)的基礎(chǔ)
二、實(shí)驗(yàn)器材和工具軟件:
PC機(jī)一臺(tái)、QuartusII軟件、DE2板。
三、設(shè)計(jì)內(nèi)容:
(1)搶答器可容納四組12位選手,每組設(shè)置三個(gè)搶答按鈕供選手使
用。
(2)電路具有第一搶答信號的鑒別和鎖存功能。在主持人將系統(tǒng)復(fù)位并發(fā)出搶答指令后,蜂鳴器提示搶答開始,時(shí)顯示器顯示初始時(shí)間并開始倒計(jì)時(shí),若參賽選手按搶答按鈕,則該組指示燈亮并用組別顯示器顯示選手的組別,同時(shí)蜂鳴器發(fā)出“嘀嘟”的雙音頻聲。此時(shí),電路具備自鎖功能,使其它搶答按鈕不起作用。
(3)如果無人搶答,計(jì)時(shí)器倒計(jì)時(shí)到零,蜂鳴器有搶答失敗提示,主持人可以按復(fù)位鍵,開始新一輪的搶答。
(4)設(shè)置犯規(guī)功能。選手在主持人按開始鍵之前搶答,則認(rèn)為犯規(guī),犯規(guī)指示燈亮和顯示出犯規(guī)組號,且蜂鳴器報(bào)警,主持人可以終止搶答執(zhí)行相應(yīng)懲罰。
(5)搶答器設(shè)置搶答時(shí)間選擇功能。為適應(yīng)多種搶答需要,系統(tǒng)設(shè)有10秒、15秒、20秒和3O秒四種搶答時(shí)間選擇功能。
四、設(shè)計(jì)具體步驟:
首先把系統(tǒng)劃分為組別判斷電路模塊groupslct,犯規(guī)判別與搶答信號判別電路模塊fgqd,分頻電路模塊fpq1,倒計(jì)時(shí)控制電路模塊djs,顯示時(shí)間譯碼電路模塊num_7seg模塊,組別顯示模塊showgroup模塊這六個(gè)模塊,各模塊設(shè)計(jì)完成后,用電路原理圖方法將各模塊連接構(gòu)成系統(tǒng)。
各模塊功能及代碼:
1、組別判別模塊
(1)功能:可容納四組12位選手,每組設(shè)置三個(gè)搶答按鈕供選手使用。若參賽選手按搶答按鈕,則輸出選手的組別。此時(shí),電路具
signal rst : std_logic;begin
h<=“0000” when(a=“000” and b=“000” and c=“000” and d=“000”)else
“0001” when(a/=“000” and b=“000” and c=“000” and d=“000”)else
“0010” when(a=“000” and b/=“000” and c=“000” and d=“000”)else
“0100” when(a=“000” and b=“000” and c/=“000” and d=“000”)else
“1000” when(a=“000” and b=“000” and c=“000” and d/=“000”)else
“0000”;process
begin
wait on clock until rising_edge(clock);
if clr='1' then
rst<='1';
g<=“0000”;
end if;
if h/=“0000” then
if rst='1' then
g<=h;
rst<='0';
end if;
end if;
end process;
end behave_groupslct;
2、犯規(guī)判別與搶答信號判別模塊
(1)功能:若參賽選手在主持人按開始鍵之后按搶答按鈕,則使該組指示燈亮并輸出選手的組別,同時(shí)蜂鳴器發(fā)出響聲。
選手在主持人按開始鍵之前搶答,則認(rèn)為犯規(guī),犯規(guī)指示燈亮并輸出犯規(guī)組號,且蜂鳴器報(bào)警。
(2)原理:c[3..0]接組別判別模塊的g[3..0],即此時(shí)c為按鍵組別的信息。go接主持人的“開始”按鍵。由于無論是在正常情況還是犯規(guī)情況下按下按鍵,都必須顯示按鍵的組別且蜂鳴器響,所以將c的值給hex以輸出按鍵組別,且在有按鍵按下(c/=“0000”)時(shí)輸出fm為‘1’,否則為‘0’。若在開始之前有按鍵按下時(shí),即go='0'且c/=“0000”,輸出ledfg為‘1’,否則為‘0’。若在開始之后有按鍵按下,將c的值給led,使該組指示燈亮,開始之前l(fā)ed輸出“0000”。
(3)程序代碼:
library ieee;
use ieee.std_logic_1164.all;
entity fgqd is port(c:in std_logic_vector(3 downto 0);
go:in std_logic;
hex:out std_logic_vector(3 downto 0);
led:out std_logic_vector(3 downto 0);
ledfg,fm:out std_logic);
end fgqd;
architecture behave_fgqd of fgqd is begin);end djs;
architecture behave_djs of djs is begin
process(clock,aclr,s)
begin
if(aclr='1')then
if(s=“00”)then
q<=“01010”;
elsif(s=“01”)then
q<=“01111”;
elsif(s=“10”)then
q<=“10100”;
else
q<=“11110”;
end if;
else
if rising_edge(clock)then
if en='1' then
q<=q-1;
if(q=“00000” and grpsl=“0000”)then
time0<='1';
else
time0<='0';
end if;
end if;
end if;
end if;
end process;end behave_djs;
4、分頻器模塊
(1)功能:實(shí)現(xiàn)50MHz—1Hz的分頻,為倒計(jì)時(shí)模塊提供時(shí)鐘。
(2)程序代碼
library ieee;
use ieee.std_logic_1164.all;
entity fpq1 is port(clkin :in std_logic;
clkout:out std_logic);end fpq1;
architecture behave_fpq1 of fpq1 is constant N: Integer:=24999999;signal Counter:Integer RANGE 0 TO N;signal Clk: Std_Logic;begin
process(clkin)
begin
if rising_edge(clkin)then--每計(jì)到N個(gè)(0~n-1)上升沿,輸出信號翻轉(zhuǎn)一次
if Counter=N then
Counter<=0;
Clk<=NOT Clk;
else
Counter<= Counter+1;
end if;
end if;
end process;clkout<= Clk;end behave_fpq1;
5、時(shí)間顯示譯碼器
(1)功能:將時(shí)間信息在7段數(shù)碼管上顯示。
(2)程序代碼
library ieee;
use ieee.std_logic_1164.all;
entity num_7seg is port(c:in std_logic_vector(4 downto 0);
hex:out std_logic_vector(13 downto 0));
end num_7seg;
architecture behave_num_7seg of num_7seg is begin
with c(4 downto 0)select
hex<= “10000001000000” when “00000” ,--“0”
“10000001111001” when “00001” ,--“1”
“10000000100100” when “00010” ,--“2”
“10000000110000” when “00011” ,--“3”
“10000000011001” when “00100” ,--“4”
“10000000010010” when “00101” ,--“5”
“10000000000010” when “00110” ,--“6”
“10000001111000” when “00111” ,--“7”
“10000000000000” when “01000” ,--“8”
“10000000010000” when “01001” ,--“9”
“11110011000000” when “01010” ,--“10”
“11110011111001” when “01011” ,--“11”
“11110010100100” when “01100” ,--“12”
“11110010110000” when “01101” ,--“13”
“11110010011001” when “01110” ,--“14”
“11110010010010” when “01111” ,--“15”
“11110010000010” when “10000” ,--“16”
“11110011111000” when “10001” ,--“17”
“11110010000000” when “10010” ,--“18”
“11110010010000” when “10011” ,--“19”
“01001001000000” when “10100” ,--“20”
“01001001111001” when “10101” ,--“21”
“01001000100100” when “10110” ,--“22”
“01001000110000” when “10111” ,--“23”
“01001000011001” when “11000” ,--“24”
“01001000010010” when “11001” ,--“25”
“01001000000010” when “11010” ,--“26”
“01001001111000” when “11011” ,--“27”
來。然后就是將選出的組別鎖存。將按下按鍵的組別賦給一內(nèi)部信號“h”(沒有按鍵按下時(shí)h=“0000”),當(dāng)復(fù)位鍵按下時(shí)(clr=‘1’)輸出g=“0000”并且將另一內(nèi)部信號rst置1。當(dāng)復(fù)位后(rst=‘1’)有按鍵按下時(shí)將h的值給輸出信號g,并且將標(biāo)志信號rst清零。這樣就實(shí)現(xiàn)最快按鍵組別鎖存功能。
六、心得體會(huì)
通過此次設(shè)計(jì),我掌握了數(shù)字電路的設(shè)計(jì)方法,尤其是模塊劃分、工程設(shè)計(jì)思想與電路調(diào)試能力,都有了一定的提高。為以后從事各種電路設(shè)計(jì)、制作與調(diào)試工作打下堅(jiān)實(shí)的基礎(chǔ)。
第五篇:三層教學(xué)樓的設(shè)計(jì)計(jì)算
三層框架結(jié)構(gòu)中學(xué)教學(xué)樓的設(shè)計(jì)
根據(jù)教學(xué)樓設(shè)計(jì)規(guī)范和其它相關(guān)標(biāo)準(zhǔn),以及設(shè)計(jì)要求和提供的地質(zhì)資料,設(shè)計(jì)該框架結(jié)構(gòu)教學(xué)樓。按照先建筑后結(jié)構(gòu),先整體布局后局部節(jié)點(diǎn)設(shè)計(jì)步驟設(shè)計(jì)。主要內(nèi)容包括:設(shè)計(jì)資料、建筑設(shè)計(jì)總說明、建筑的平面、立面、剖面圖設(shè)計(jì)說明,以及其它部分的設(shè)計(jì)說明;結(jié)構(gòu)平面布置及計(jì)算簡圖確定、荷載計(jì)算、內(nèi)力計(jì)算、內(nèi)力組合、主梁截面設(shè)計(jì)和配筋計(jì)算、框架柱截面設(shè)計(jì)和配筋計(jì)算、次梁截面設(shè)計(jì)配筋計(jì)算、樓板和屋面設(shè)計(jì)、樓梯設(shè)計(jì),基礎(chǔ)設(shè)計(jì)等。其中附有風(fēng)荷載作用下的框架彎矩、剪力和軸力圖;縱向和橫向地震荷載作用下的框架彎矩、剪力和軸力圖;恒荷載和活荷載作用下的框架彎矩、剪力和軸力圖以及梁柱的內(nèi)力組合表。
關(guān)鍵詞:框架、重力荷載代表值;現(xiàn)澆鋼筋混凝土結(jié)構(gòu);內(nèi)力組合;彎矩調(diào)幅。
設(shè)計(jì)理念
教學(xué)樓是為人們學(xué)習(xí)提供最為良好環(huán)境的建筑??v觀教學(xué)建筑的發(fā)展歷史,無不體現(xiàn) 著人類文化、文明的歷史進(jìn)程和時(shí)代特征。教學(xué)樓建筑設(shè)計(jì)同設(shè)計(jì)其他類型建筑一樣有許多共同點(diǎn),也有許多不同的特點(diǎn)和要求。隨著時(shí)代的發(fā)展,辦公樓的內(nèi)容和形式都在不斷發(fā)生變化。因此,我對教學(xué)樓的設(shè)計(jì)過程和設(shè)計(jì)方法進(jìn)行了詳細(xì)研究,經(jīng)過一番思考,我認(rèn)為本設(shè)計(jì)應(yīng)該具有以下特點(diǎn):
(1)彈性。從設(shè)計(jì)、結(jié)構(gòu)到服務(wù)功能都應(yīng)做到開放性,以適應(yīng)時(shí)空的變化。(2)緊湊性。教室以及其它輔助用房的空間布置要做到緊湊合理。(3)易接近性。從樓外到樓內(nèi),從入口到各個(gè)部門,要規(guī)劃得合理,要設(shè)計(jì)一個(gè)良好的導(dǎo)引系統(tǒng)。(4)可擴(kuò)展性。在未來擴(kuò)展時(shí)可靈活延伸,將損失減小到低程度。(5)舒適性。在環(huán)境、通風(fēng)、溫濕度、光線等方面要柔和、協(xié)調(diào),盡量借用外部的自然環(huán)境。(6)環(huán)境的穩(wěn)定性。(7)安全性。建筑安全防護(hù)措施做到不僅滿足規(guī)范要求而且更加人性化。(8)經(jīng)濟(jì)性。把建設(shè)和維護(hù)一座教學(xué)樓所需要的經(jīng)費(fèi)和人員控制在最低限度。
在整個(gè)設(shè)計(jì)過程中,我本著“安全,適用,經(jīng)濟(jì),美觀”的原則,在滿足設(shè)計(jì)任務(wù)書提出的功能要求前提下,完成了建筑設(shè)計(jì)這一環(huán)節(jié),合理的選擇框架,并為以后的結(jié)構(gòu)設(shè)計(jì)打下了良好的基礎(chǔ)。
工程概況
本設(shè)計(jì)教學(xué)樓用地755方米,紅線范圍為50m×20m。該地段地勢平坦,環(huán)境較好,在選址和環(huán)境營造方面,注意自然景色的優(yōu)美,也重學(xué)習(xí)環(huán)境各交通條件的因素,更強(qiáng)調(diào)人與自然環(huán)境的協(xié)調(diào)統(tǒng)一,比較適合教學(xué)樓功能的充分利用。
根據(jù)設(shè)計(jì)資料的規(guī)劃要求,本教學(xué)樓建筑要求的主要功能有:門衛(wèi)室,教師休息室,大教室,小教室,多媒體教室等。設(shè)計(jì)標(biāo)高:室內(nèi)外高差:450mm。
墻身做法:墻身采用250厚的加氣混凝土塊。內(nèi)粉刷為混合砂漿打底,1:0.3:3面層厚5mm, 內(nèi)墻涮兩度乳膠漆,外墻貼磚。
樓面做法:樓面(大理石樓面),100厚現(xiàn)澆鋼筋砼樓板,打磨刮兩遍膩?zhàn)?涮兩度乳膠漆。
屋面做法(上人屋面):見建筑設(shè)計(jì)部分。門窗做法:塑鋼窗和木門。
平面設(shè)計(jì)
該建筑物總長度為54.3m,總寬度為34.5m,共三層,總建筑面積為4019m2,主體結(jié)構(gòu)采用現(xiàn)澆鋼筋混凝土框架結(jié)構(gòu)。
使用部分的平面設(shè)計(jì)
使用房間面積的大小,主要由房間內(nèi)部活動(dòng)的特點(diǎn),使用人數(shù)的多少以及設(shè)備的因素決定的,本建筑物為教學(xué)樓,主要使用房間為教室,各主要房間的具體設(shè)置在下表一一列出,如下表:
房間設(shè)置表
序號 房間名稱 數(shù)量 單個(gè)面積 1 大教室 36 75.3 2 小教室 5 43.2 3 教師休息室 5 43.2 4 門房 1 10.8 5 儲(chǔ)藏室 1 10.8 7 洗手間 5 43.2
目 錄 21000字 目 錄..1 畢業(yè)設(shè)計(jì)提綱4 緒論......7 本課程設(shè)計(jì)摘要8 1建筑設(shè)計(jì)理念及設(shè)計(jì)依據(jù)9 1.1 設(shè)計(jì)理念9 1.2工程概況.9 1.3設(shè)計(jì)依據(jù).10 2 建筑設(shè)計(jì).11 2.1平面設(shè)計(jì)..11 2.1.1使用部分的平面設(shè)計(jì)11 2.1.2門的寬度、數(shù)量和開啟方式12 2.1.3窗的大小和位置.12 2.1.4輔助房間的平面設(shè)計(jì).12 2.1.5交通部分的平面設(shè)計(jì)12 2.2 立面設(shè)計(jì)13 2.3 建筑剖面設(shè)計(jì)13 2.4 其它部分詳細(xì)做法和說明..13 3 結(jié)構(gòu)設(shè)計(jì)說明15 3.1 工程概況15 3.2 設(shè)計(jì)主要依據(jù)和資料 15 3.2.1 設(shè)計(jì)依據(jù)15 3.3結(jié)構(gòu)設(shè)計(jì)方案及布置16 3.4變形縫的設(shè)置..16 3.5 構(gòu)件初估 16 3.5.1 柱截面尺寸的確定16 3.5.2 梁尺寸確定 17 3.5.3 樓板厚度..17 3.6 基本假定與計(jì)算簡圖 17 3.6.1 基本假定..17 3.6.2 計(jì)算簡圖..17 3.7荷載計(jì)算 17 3.8 側(cè)移計(jì)算及控制.18 3.9 內(nèi)力計(jì)算及組合.18 3.9.1 豎向荷載下的內(nèi)力計(jì)算..18 3.9.2 水平荷載下的計(jì)算 18 3.9.3 內(nèi)力組合..18 3.10 基礎(chǔ)設(shè)計(jì) 19 3.11 施工材料..19 3.12 施工要求及其他設(shè)計(jì)說明.19 4 設(shè)計(jì)計(jì)算書.20 4.1 設(shè)計(jì)原始資料.20 4.2 結(jié)構(gòu)布置及計(jì)算簡圖 20 4.3 荷載計(jì)算..22 4.3.1 恒載標(biāo)準(zhǔn)值計(jì)算 22 4.3.2 活荷載標(biāo)準(zhǔn)值計(jì)算 24 4.3.3 豎向荷載下框架受荷總圖.25 4.3.4 重力荷載代表值計(jì)算..30 4.4 地震作用計(jì)算..33 4.4.1 橫向框架側(cè)移剛度計(jì)算..33 4.4.2橫向自振周期計(jì)算 36 4.4.3 橫向水平地震力計(jì)算..37 4.4.4 水平地震作用下的位移驗(yàn)算.38 4.4.5 水平地震作用下框架內(nèi)力計(jì)算 39 4.5 豎向荷載作用框架內(nèi)力計(jì)算.44 4.5.1 梁柱端的彎矩計(jì)算 46 4.5.2 梁端剪力和軸力計(jì)算.57 4.6 風(fēng)荷載計(jì)算..59 4.7內(nèi)力組合 61 4.8截面設(shè)計(jì)..64 4.8.1 框架梁的配筋計(jì)算(僅以一層梁為例說明計(jì)算過程)..4.8.2框架柱配筋計(jì)算.67 4.8.3節(jié)點(diǎn)設(shè)計(jì)..71
4.9 樓板設(shè)計(jì)..72 4.9.1 B,D區(qū)格板的計(jì)算 72 第一,設(shè)計(jì)荷載..72 恒載: 72 第四,截面設(shè)計(jì)..75 4.9.2 A, C單向板計(jì)算: 76 4.10 樓梯設(shè)計(jì)(采用平行雙跑樓梯)77 4.10.1踏步板計(jì)算.78 4.10.2 斜梁設(shè)計(jì)..79 4.10.3平臺(tái)板設(shè)計(jì).80 4.10.4平臺(tái)梁的設(shè)計(jì).82 4.11基礎(chǔ)設(shè)計(jì)..84 4.11.1 獨(dú)立基礎(chǔ)設(shè)計(jì).85 b)基底尺寸的確定..86 C)確定基礎(chǔ)高度.87 d)基底配筋 89 4.11.2 聯(lián)合基礎(chǔ)設(shè)計(jì)..92 4.12 縱向連續(xù)梁設(shè)計(jì) 97 4.12.1 荷載計(jì)算.98 4.12.2 計(jì)算簡圖..98 4.12.3 內(nèi)力計(jì)算.99 4.12.4 配筋計(jì)算.100 畢業(yè)設(shè)計(jì)總結(jié)..102 致謝..103 參考文獻(xiàn).104 框架結(jié)構(gòu)(12)中學(xué)(16)教學(xué)樓(4)三層(1)