欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      PLD可編程數(shù)字系統(tǒng)課程設(shè)計(jì)內(nèi)容(電信10)

      時(shí)間:2019-05-15 09:51:40下載本文作者:會(huì)員上傳
      簡(jiǎn)介:寫寫幫文庫(kù)小編為你整理了多篇相關(guān)的《PLD可編程數(shù)字系統(tǒng)課程設(shè)計(jì)內(nèi)容(電信10)》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫寫幫文庫(kù)還可以找到更多《PLD可編程數(shù)字系統(tǒng)課程設(shè)計(jì)內(nèi)容(電信10)》。

      第一篇:PLD可編程數(shù)字系統(tǒng)課程設(shè)計(jì)內(nèi)容(電信10)

      PLD可編程數(shù)字系統(tǒng)課程設(shè)計(jì)

      一、設(shè)計(jì)題目:基于Verilog HDL的數(shù)字秒表和電子時(shí)鐘設(shè)計(jì)

      二、設(shè)計(jì)目的

      1、掌握Verilog HDL用于數(shù)字邏輯系統(tǒng)的設(shè)計(jì)技術(shù)和方法;

      2、掌握CPLD/FPGA器件的應(yīng)用方法;

      3、學(xué)習(xí)掌握EDA綜合開(kāi)發(fā)環(huán)境(如ALTERA公司的Quartus II等)下進(jìn)行設(shè)計(jì)、仿真、綜合、下載及調(diào)試的方法。

      三、設(shè)計(jì)任務(wù) 基礎(chǔ)部分:

      1、設(shè)計(jì)用于體育比賽用的數(shù)字秒表功能,要求

      ⑴ 計(jì)時(shí)精度應(yīng)大于1/100秒,計(jì)時(shí)器能顯示1/100秒的時(shí)間;

      ⑵ 計(jì)時(shí)器的最長(zhǎng)計(jì)時(shí)時(shí)間為1小時(shí),為此需要一個(gè)6位的顯示器,顯示的最長(zhǎng)時(shí)間為59分59.99秒。

      2、設(shè)置有復(fù)位和啟/停開(kāi)關(guān),要求

      ⑴ 復(fù)位開(kāi)關(guān)用來(lái)使計(jì)時(shí)器清零,并做好計(jì)時(shí)準(zhǔn)備;

      ⑵ 啟/停開(kāi)關(guān)的使用方法與傳統(tǒng)的機(jī)械計(jì)時(shí)器相同,即按一下啟/停開(kāi)關(guān),啟動(dòng)計(jì)時(shí)器開(kāi)始計(jì)時(shí),再按一下啟/停開(kāi)關(guān),計(jì)時(shí)中止。

      3、采用Verilog HDL語(yǔ)言用層次化設(shè)計(jì)方法設(shè)計(jì)符合上述功能要求的數(shù)字秒表。

      4、在此基礎(chǔ)上增加電子時(shí)鐘功能

      (1)加入一個(gè)模式開(kāi)關(guān)按鍵,按一下,變?yōu)槊氡砟J?,再按一下切換回電子時(shí)鐘模式。

      (2)設(shè)計(jì)電子時(shí)鐘,要求能夠?qū)Ξ?dāng)前時(shí)間進(jìn)行設(shè)置。用6個(gè)數(shù)碼管分別顯示小時(shí)、分鐘、秒鐘。

      (3)同時(shí)要求能夠設(shè)置鬧鐘時(shí)間,到達(dá)時(shí)間后蜂鳴器會(huì)響5秒鐘。

      加分部分:

      5、加分任務(wù):利用實(shí)驗(yàn)箱資源設(shè)計(jì)一個(gè)系統(tǒng),加分的分?jǐn)?shù)視系統(tǒng)的新穎性,功能和復(fù)雜程度而定。(新穎性體現(xiàn)在:如果多個(gè)人實(shí)現(xiàn)相同的系統(tǒng),那么此系統(tǒng)的加分分?jǐn)?shù)相應(yīng)降低)

      四、設(shè)計(jì)步驟

      1、采用層次化設(shè)計(jì)方法將設(shè)計(jì)項(xiàng)目分為若干模塊;

      2、對(duì)各模塊分別設(shè)計(jì),寫出行為描述的Verilog HDL源文件;

      3、對(duì)所作設(shè)計(jì)進(jìn)行功能仿真,通過(guò)有關(guān)波形確認(rèn)設(shè)計(jì)是否正確;

      4、完成全部設(shè)計(jì)后,通過(guò)實(shí)驗(yàn)箱下載驗(yàn)證課題設(shè)計(jì)的正確性。

      五、課程設(shè)計(jì)報(bào)告要求

      1、設(shè)計(jì)目的、任務(wù);

      2、設(shè)計(jì)步驟;

      3、根據(jù)分層方法進(jìn)行模塊設(shè)計(jì),寫出各模塊Verilog HDL源代碼;

      4、記錄綜合、仿真、調(diào)試過(guò)程及結(jié)果;

      5、總結(jié)所做設(shè)計(jì)及設(shè)計(jì)方法;

      6、自我鑒定(實(shí)驗(yàn)報(bào)告冊(cè)封底對(duì)應(yīng)欄)。

      六、時(shí)間安排

      12節(jié)課 34節(jié)課 56節(jié)課 78節(jié)課

      第一周周一 1班 1班 2班 3班 周二 2班 2班 3班 1班

      周三 3班 3班 1班 2班

      周四 1班 1班 2班 3班

      周五 2班 2班 3班 1班

      第二周周一 3班 3班 1班 2班

      周二 1班 1班 2班 3班

      周三 2班 2班 3班 1班

      周四 3班 3班 1班 2班

      周五 1班同學(xué)答辯 2班同學(xué)答辯 3班同學(xué)答辯

      七、考核方法

      該課程設(shè)計(jì)成績(jī)由三部分組成,即平時(shí)、考核測(cè)試及報(bào)告成績(jī),分別占15%,70%,15%。整個(gè)設(shè)計(jì)分為基礎(chǔ)部分和加分部分,最后一天進(jìn)行答辯,答辯中老師會(huì)進(jìn)行提問(wèn),最終成績(jī)視回答情況而定。完成基礎(chǔ)部分后可以設(shè)計(jì)加分部分,根據(jù)設(shè)計(jì)的情況進(jìn)行加分。

      第二篇:可編程序控制器課程設(shè)計(jì)報(bào)告封皮及內(nèi)容

      可編程序控制器 課程設(shè)計(jì)報(bào)告

      學(xué)校:哈爾濱理工大學(xué)榮成學(xué)院 院系:電氣信息系 專業(yè)班級(jí):電機(jī)10-XX 學(xué)號(hào): 姓名: 題目(黑體,小二)

      一、任務(wù)要求

      (正文宋體四號(hào)字)

      二、系統(tǒng)總體方案

      (正文宋體四號(hào)字)

      三、PLC型號(hào)選擇及其他PLC元器件分配

      (正文宋體四號(hào)字)

      四、PLC控制系統(tǒng)硬件接線圖

      (正文宋體四號(hào)字)

      五、程序框圖和梯形圖

      (正文宋體四號(hào)字)

      六、心得體會(huì)和參考資料

      (正文宋體四號(hào)字)

      第三篇:數(shù)字系統(tǒng)課程設(shè)計(jì)交通燈控制器

      東南大學(xué)

      《數(shù)字系統(tǒng)課程設(shè)計(jì)》

      設(shè)計(jì)報(bào)告

      項(xiàng)目名稱: 交通燈控制器

      名:

      學(xué)

      號(hào):

      業(yè):

      實(shí) 驗(yàn) 室: 電工電子實(shí)驗(yàn)中心

      別:

      無(wú)

      同組人員:

      無(wú)

      設(shè)計(jì)時(shí)間: 2016 年月 26 日

      ——

      2016 年 9 月 20日 評(píng)定成績(jī):

      審閱教師:

      目錄

      一.設(shè)計(jì)方案及論證……………………………………………… 3 二.模塊設(shè)計(jì)……………………………………………………… 5 三.總體設(shè)計(jì)與仿真……………………………………………… 10 四.總結(jié)…………………………………………………………… 12 一.設(shè)計(jì)方案及論證

      1.設(shè)計(jì)使用環(huán)境

      本交通燈控制系統(tǒng)設(shè)計(jì)利用Verilog HDL語(yǔ)言進(jìn)行設(shè)計(jì)編程,利用Cyclone EP1C6Q240C8芯片和一些外圍器件組成硬件電路,利用Quartus II軟件將編寫好的程序進(jìn)行編譯和仿真,并將調(diào)試完成的程序下載到Cyclone EP1C6Q240C8芯片上,通過(guò)觀測(cè)電路板上的紅綠信號(hào)燈以及數(shù)碼管顯示來(lái)分析系統(tǒng)的性能。

      2.設(shè)計(jì)任務(wù)分析

      主干道與鄉(xiāng)村公路十字交叉路口在現(xiàn)代化的農(nóng)村星羅棋布,為確保車輛安全、迅速地通過(guò),在交叉路口的每個(gè)入口處設(shè)置了紅、綠、黃三色信號(hào)燈。紅燈禁止通行;綠燈允許通行;黃燈亮則給行駛中的車輛有時(shí)間行駛到禁行線之外。主干道和鄉(xiāng)村公路都安裝了傳感器,檢測(cè)車輛通行情況,用于主干道的優(yōu)先權(quán)控制。

      設(shè)計(jì)要求:

      1)當(dāng)鄉(xiāng)村公路無(wú)車時(shí),始終保持鄉(xiāng)村公路紅燈亮,主干道綠燈亮。2)當(dāng)鄉(xiāng)村公路有車時(shí),而主干道通車時(shí)間已經(jīng)超過(guò)它的最短通車時(shí)間時(shí),禁止主干道通行,讓鄉(xiāng)村公路通行。主干道最短通車時(shí)間為25s。

      3)當(dāng)鄉(xiāng)村公路和主干道都有車時(shí),按主干道通車25s,鄉(xiāng)村公路通車16s交替進(jìn)行。4)不論主干道情況如何,鄉(xiāng)村公路通車最長(zhǎng)時(shí)間為16s。

      5)在每次由綠燈亮變成紅燈亮的轉(zhuǎn)換過(guò)程中間,要亮5s時(shí)間的黃燈作為過(guò)渡。6)用開(kāi)關(guān)代替?zhèn)鞲衅髯鳛闄z測(cè)車輛是否到來(lái)的信號(hào)。用紅、綠、黃三種顏色的發(fā)光二極管作交通燈。7)數(shù)碼管倒計(jì)時(shí)顯示

      3.測(cè)量控制原理

      1)通過(guò)乒乓開(kāi)關(guān)來(lái)控制FPGA輸入信號(hào)的電平,從而控制交通燈工作。

      2)利用FPGA輸出的電平信號(hào)去驅(qū)動(dòng)靜態(tài)數(shù)碼管及三色小燈來(lái)模擬交通燈。

      4.頂層設(shè)計(jì)方案框圖及說(shuō)明

      1)交通燈控制器框圖

      C表示鄉(xiāng)村道路是否有車到來(lái),1表示有,0表示無(wú);SET用來(lái)控制系統(tǒng)的開(kāi)始及停止;RST是復(fù)位信號(hào),高電平有效,當(dāng)RST為1時(shí),恢復(fù)到初始設(shè)置;CLK是外加時(shí)鐘信號(hào);MR、MY、MG分別表示主干道的紅燈、黃燈和綠燈;CR、CY、CG分別表示鄉(xiāng)村道路的紅燈、黃燈和綠燈,1表示亮,0表示滅。

      2)流程圖

      MGCR表示主干道綠燈,鄉(xiāng)村道路紅燈;MYCR表示主干道黃燈,鄉(xiāng)村道路紅燈;MRCG表示主干道紅燈,鄉(xiāng)村道路綠燈;MRCY表示主干道紅燈,鄉(xiāng)村道路黃燈;T0=1表示主干道最短通車時(shí)間到,T1=1表示5秒黃燈時(shí)間到,T2=1表示鄉(xiāng)村道路最長(zhǎng)通車時(shí)間到。二.模塊設(shè)計(jì)

      1.模塊功能及端口說(shuō)明

      1)分頻模塊

      輸入端為clk_in,即實(shí)驗(yàn)箱自帶脈沖輸入信號(hào),輸出端為clk,即想得到的頻率。2)主控制模塊

      輸入端為CLK、RST、C。其中c為鄉(xiāng)村道路開(kāi)關(guān),為1時(shí)表示鄉(xiāng)村道路有車;rst為初始化開(kāi)關(guān),為1時(shí)表示初始化為主干道綠燈,鄉(xiāng)村道路紅燈的狀態(tài)。

      輸出端為MG、MY、MR、CG、CY、CR分別表示主干道和鄉(xiāng)村道路的紅黃綠燈,與LED燈相連;mh、ml、ch、cl分別表示主干道和鄉(xiāng)村道路倒計(jì)時(shí)顯示的高低位,與數(shù)碼管相連。

      3)數(shù)碼管顯示模塊

      輸入端為clk和count,輸出端為L(zhǎng)ED。

      2.主要功能的設(shè)計(jì)方法

      1)分頻模塊

      試驗(yàn)箱可選晶振有2M和50M,選擇使用2M后,設(shè)置分頻系數(shù)為2000000,每計(jì)數(shù)到1000000,則輸出取反,最終可得到1HZ的時(shí)鐘信號(hào)。

      2)主控制模塊

      設(shè)置兩個(gè)外部控制條件:初始化(RST);鄉(xiāng)村干道是否有車(C);

      設(shè)置一個(gè)內(nèi)部計(jì)數(shù)變量:NUM,通過(guò)相關(guān)運(yùn)算取余取整得到數(shù)碼管顯示高低位;

      通過(guò)有限狀態(tài)機(jī)實(shí)現(xiàn)四個(gè)狀態(tài)的循環(huán)切換。

      3.Verilog設(shè)計(jì)程序及說(shuō)明

      1)分頻模塊

      module fre(clk_in,clk);input clk_in;output clk;reg clk;reg [31:0]k;always @(negedge clk_in)begin

      if(k>=1000000)//1000000分頻 begin clk<=~clk;//取反

      k<=0;end else

      k<=k+1;//計(jì)數(shù)

      end endmodule 2)主控制模塊

      module traffic(CLK,RST,C,MG,MY,MR,CG,CY,CR,mh,ml,ch,cl);input CLK,RST,C;output [3:0]mh,ml,ch,cl;output MG,MY,MR,CG,CY,CR;reg [3:0] mh,ml,ch,cl;reg MG,MY,MR,CG,CY,CR;reg [31:0] COUNT;reg [5:0]state;parameter s1=6'b100001,s2=6'b010001,s3=6'b001100,s4=6'b001010;always @(posedge CLK)if(RST)//初始化

      begin

      state = s1;//最初狀態(tài),主通行,鄉(xiāng)村不通行 MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;COUNT = 0;

      mh=2;//主干道綠燈25s,鄉(xiāng)村道路紅燈5s

      ml=5;

      ch=3;

      cl=0;

      end else case(state)s1: begin COUNT = COUNT+1;

      if((COUNT>=25)&&(C==1))//25s已計(jì)完且鄉(xiāng)村道路來(lái)車,跳轉(zhuǎn)到s2狀態(tài)

      begin

      state = s2;//主干道黃燈,鄉(xiāng)村道路紅燈

      MG=0;MY=1;MR=0;CG=0;CY=0;CR=1;COUNT = 0;mh=0;ml=5;ch=0;cl=5;end else if(COUNT<25)//25s沒(méi)有計(jì)完,保持s1狀態(tài)

      begin

      state = s1;

      MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;

      mh=(25-COUNT)/10;//取整取余換算,倒計(jì)時(shí)顯示

      ml=(25-COUNT)%10;ch=(30-COUNT)/10;cl=(30-COUNT)%10;end else if(COUNT >= 25 && C == 0)//25s計(jì)完,鄉(xiāng)村道路仍然沒(méi)有車

      begin

      state = s1;//保持s1 MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;mh=0;//數(shù)碼管顯示0

      ml=0;

      ch=0;

      cl=0;

      end

      end s2: begin COUNT = COUNT+1;

      if(COUNT==5)//5s黃燈已計(jì)完

      begin

      state = s3;//主干道紅燈,鄉(xiāng)村道路綠燈 MG=0;MY=0;MR=1;CG=1;CY=0;CR=0;COUNT = 0;

      mh=2;//主干道21s紅燈,鄉(xiāng)村道路16s綠燈

      ml=1;

      ch=1;

      cl=6;

      end else

      begin

      state = s2;//5s黃燈未計(jì)完時(shí),保持s2狀態(tài)

      MG=0;MY=1;MR=0;CG=0;CY=0;CR=1;

      mh=0;

      ml=5-COUNT;

      ch=0;

      cl=5-COUNT;

      end end s3: begin COUNT = COUNT+1;

      if(((COUNT>=16)&&(C==1))||(C==0))//鄉(xiāng)村道路16s通行時(shí)間已結(jié)束,不管有無(wú)來(lái)車,均跳轉(zhuǎn)s4狀態(tài)

      begin

      state = s4;//主干道紅燈,鄉(xiāng)村道路黃燈

      MG=0;MY=0;MR=1;CG=0;CY=1;CR=0;

      COUNT = 0;

      mh=0;

      ml=5;

      ch=0;

      cl=5;

      end else

      begin

      state = s3;//16s未結(jié)束,仍保持s3狀態(tài)

      MG=0;MY=0;MR=1;CG=1;CY=0;CR=0;

      mh=(21-COUNT)/10;

      ml=(21-COUNT)%10;

      ch=(16-COUNT)/10;

      cl=(16-COUNT)%10;

      end end s4: begin COUNT = COUNT+1;if(COUNT==5)//5s黃燈時(shí)間結(jié)束

      begin

      state = s1;//回到s1狀態(tài)

      MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;

      COUNT = 0;

      mh=2;

      ml=5;

      ch=3;

      cl=0;

      end else

      begin

      state = s4;//否則保持s4狀態(tài)

      MG=0;MY=0;MR=1;CG=0;CY=1;CR=0;

      mh=0;

      ml=5-COUNT;

      ch=0;

      cl=5-COUNT;

      end end default:

      begin

      state = s1;

      MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;

      COUNT = 0;

      mh=0;

      ml=5-COUNT;

      ch=0;

      cl=5-COUNT;

      end endcase endmodule 3)數(shù)碼管顯示模塊 module led(clk,count,LED);input clk;input [3:0]count;output [7:0]LED;reg [7:0]LED;always @(posedge clk)begin case(count)

      4'b0000:LED=8'b00000011;

      4'b0001:LED=8'b10011111;

      4'b0010:LED=8'b00100101;

      4'b0011:LED=8'b00001101;

      4'b0100:LED=8'b10011001;

      4'b0101:LED=8'b01001001;

      4'b0110:LED=8'b01000001;

      4'b0111:LED=8'b00011111;

      4'b1000:LED=8'b00000001;

      4'b1001:LED=8'b00001001;

      default:LED=8'b00000001;endcase end endmodule 4.仿真圖及說(shuō)明

      (1)分頻模塊

      由于實(shí)際應(yīng)用中分頻較大,仿真時(shí)為方便觀察,將分頻頻數(shù)設(shè)置為20。輸入為clk_in,周期為10ns;輸出為clk,其周期為200ns,與理論值相符。(2)主控制模塊

      與總體仿真相同,在此不再贅述。

      三.總體設(shè)計(jì)與仿真

      1.頂層設(shè)計(jì)圖及說(shuō)明

      fre為分頻模塊,traffic為主控制模塊,led為數(shù)碼管顯示模塊。輸入端有clk_in、c和rst,輸出端有MG、MY、MR、CG、CY、CR和mh、ml、ch、cl。

      2.仿真圖及說(shuō)明

      輸入有:C、CLK和RST 輸出有:CG、CR、CY、MG、MR、MY、ch、cl、mh和ml C為鄉(xiāng)村道路是否來(lái)車,1表示來(lái)車,0表示無(wú)車;CLK為時(shí)鐘信號(hào);RST為初始化功能,1有效;CG、CR、CY、MG、MR、MY分別表示鄉(xiāng)村道路綠燈、紅燈、黃燈,主干道綠燈、紅燈、黃燈;ch、cl、mh、ml分別表示鄉(xiāng)村道路和主干道紅綠燈倒數(shù)顯示高低位。

      3.實(shí)驗(yàn)結(jié)果

      (1)鄉(xiāng)村道路無(wú)車時(shí)

      鄉(xiāng)村道路無(wú)車時(shí),主干道25s倒數(shù),鄉(xiāng)村道路30s倒數(shù)結(jié)束后,保持0,且主干道綠燈亮,鄉(xiāng)村道路紅燈亮。(2)鄉(xiāng)村道路有車時(shí)

      若鄉(xiāng)村道路一直有車,主干道25s(即S1狀態(tài))倒計(jì)時(shí)結(jié)束后,主干道切換黃燈,鄉(xiāng)村道路保持紅燈(即S2狀態(tài));5s黃燈倒計(jì)時(shí)結(jié)束后,主干道切換紅燈,時(shí)間21s,鄉(xiāng)村道路切換綠燈,時(shí)間16s(即S3狀態(tài));鄉(xiāng)村道路16s綠燈結(jié)束后,切換黃燈,主干道保持紅燈(即S4狀態(tài)),5s黃燈結(jié)束后,回到S1狀態(tài),即主干道25s綠燈,鄉(xiāng)村道路30s紅燈,若一直有車,則循環(huán)進(jìn)行。

      四.總結(jié)

      1.實(shí)驗(yàn)結(jié)果分析

      (1)輸入與輸出

      兩個(gè)開(kāi)關(guān):一個(gè)初始化控制開(kāi)關(guān),一個(gè)鄉(xiāng)村道路開(kāi)關(guān)。初始化開(kāi)關(guān)打開(kāi)后復(fù)位,交通燈開(kāi)始工作,鄉(xiāng)村道路打開(kāi)表示鄉(xiāng)村公路上有車。

      輸出:四個(gè)數(shù)碼管,兩個(gè)顯示主干道交通燈時(shí)間,兩個(gè)顯示鄉(xiāng)村道路時(shí)間;六個(gè)led燈,兩紅兩黃兩綠分別表示主干道和鄉(xiāng)村公路的紅黃綠燈。(2)運(yùn)行過(guò)程

      1)初始狀態(tài)(S1)

      左側(cè)為主干道倒計(jì)時(shí),右側(cè)為鄉(xiāng)村道路倒計(jì)時(shí);主干道綠燈亮,鄉(xiāng)村道路紅燈亮。K1為初始化按鍵,K2為鄉(xiāng)村道路有無(wú)來(lái)車。

      2)主干道25s綠燈結(jié)束后切換黃燈,鄉(xiāng)村道路紅燈(S2)

      3)主干道5s黃燈結(jié)束,切換紅燈21s,鄉(xiāng)村道路切換綠燈16s(S3)

      4)鄉(xiāng)村道路16s綠燈結(jié)束,切換黃燈,主干道紅燈(S4)

      5s黃燈倒計(jì)時(shí)結(jié)束,回到S1狀態(tài),若一直有車,則循環(huán)S1-S2-S3-S4-S1。

      2.問(wèn)題解決方法

      問(wèn)題1:數(shù)碼管顯示與紅綠燈切換不同時(shí)。

      解決方法:紅綠燈輸出后面增加一延時(shí)模塊,延時(shí)一個(gè)CLK,使其與數(shù)碼管顯示同步。問(wèn)題2:理解錯(cuò)題意,在S3狀態(tài)(即主干道紅燈,鄉(xiāng)村道路綠燈)時(shí),此時(shí)若鄉(xiāng)村道 路無(wú)車通過(guò),應(yīng)立即切換為S4狀態(tài)(即主干道紅燈,鄉(xiāng)村道路黃燈),而不是等當(dāng)前計(jì)數(shù)結(jié)束再切換。

      解決方法:將代碼修改為if(((COUNT>=16)&&(C==1))||(C==0)),修改后符合要求,解決了問(wèn)題。

      3.心得體會(huì)

      通過(guò)此次系統(tǒng)設(shè)計(jì),我對(duì)verilog HDL語(yǔ)言有了初步了解,并對(duì)利用quartus來(lái)進(jìn)行系統(tǒng)設(shè)計(jì)有了更加深入的理解,操作也更加熟練。在設(shè)計(jì)過(guò)程中應(yīng)該先設(shè)計(jì)好總體架構(gòu),再進(jìn)行模塊的具體設(shè)計(jì),通過(guò)分析每個(gè)模塊要實(shí)現(xiàn)的功能來(lái)寫代碼,并注意編寫注釋,便于以后的理解修改。編譯時(shí)要注意設(shè)置頂層文件,先進(jìn)行仿真觀察結(jié)果是否正確,對(duì)代碼進(jìn)行修改,仿真結(jié)果正確后再下載到硬件,測(cè)試系統(tǒng)功能。

      參考書(shū)目: [1] 夏宇聞,《Verilog數(shù)字系統(tǒng)設(shè)計(jì)教程》,北京,北京航空航天大學(xué)出版社,2013年 [2] 王金明,《數(shù)字系統(tǒng)設(shè)計(jì)與Verilog HDL》,北京,電子工業(yè)出版社,2011年

      第四篇:課程設(shè)計(jì)--模擬電信計(jì)費(fèi)系統(tǒng)

      課程設(shè)計(jì)指導(dǎo)書(shū)

      課題名稱:模擬電信計(jì)費(fèi)系統(tǒng)

      設(shè)計(jì)目標(biāo):按照軟件工程的規(guī)范,以SQL Server或Access為后臺(tái)數(shù)據(jù)庫(kù),以Visual C++、Delphi等為前端開(kāi)發(fā)工具,設(shè)計(jì)并實(shí)現(xiàn)一個(gè)能模擬電信計(jì)費(fèi)過(guò)程的系統(tǒng)。需求描述:

      本系統(tǒng)存放固定電話通話的源數(shù)據(jù)和費(fèi)率數(shù)據(jù)。通話數(shù)據(jù)包括:主叫區(qū)號(hào)、主叫電話號(hào)碼、被叫區(qū)號(hào)、被叫電話號(hào)碼、通話開(kāi)始時(shí)間、通話時(shí)長(zhǎng)(秒)。如果主、被叫區(qū)號(hào)相同,則為本地通話;否則為長(zhǎng)途通話。費(fèi)率數(shù)據(jù)存放本地到外地的通話費(fèi)率,包括:被叫區(qū)號(hào)和通話費(fèi)率。

      系統(tǒng)應(yīng)實(shí)現(xiàn)以下功能:

      (1)計(jì)費(fèi)功能(對(duì)應(yīng)專門的菜單):

      根據(jù)存放在源通話數(shù)據(jù)中的通話記錄和長(zhǎng)途費(fèi)率對(duì)每一條通話記錄

      計(jì)算其通話費(fèi)用。其中:

      通話費(fèi)的計(jì)算方法如下:

      通話費(fèi)=長(zhǎng)途電話費(fèi)+本地電話費(fèi)

      長(zhǎng)途電話費(fèi)=費(fèi)率(元/分鐘)×通話時(shí)長(zhǎng)(分鐘)

      (通話時(shí)長(zhǎng)不滿1分鐘的按1分鐘計(jì)算)

      本地電話費(fèi)為:3分鐘以內(nèi)0.5元,以后每3分鐘0.2元。

      (2)話費(fèi)查詢:按電話號(hào)碼查詢某月或某幾個(gè)月該電話號(hào)碼的所有本地話費(fèi)、長(zhǎng)途話費(fèi)和總費(fèi)用。

      (3)話單查詢:按電話號(hào)碼查詢某月或某幾個(gè)月該用戶的所有通話記錄。結(jié)果形式:

      提交課程設(shè)計(jì)報(bào)告、源程序和可演示的軟件

      課程設(shè)計(jì)報(bào)告要求:詳見(jiàn)課程設(shè)計(jì)模板

      課程設(shè)計(jì)參考思路:

      (1)熟悉數(shù)據(jù)庫(kù)和開(kāi)發(fā)工具,掌握開(kāi)發(fā)工具與本地?cái)?shù)據(jù)庫(kù)的連接方法。

      (2)理解系統(tǒng)的信息需求,進(jìn)行合理的數(shù)據(jù)庫(kù)設(shè)計(jì),建立各數(shù)據(jù)庫(kù)表。

      (3)理解系統(tǒng)的功能需求,設(shè)計(jì)應(yīng)用軟件。結(jié)合Delphi 或VC++進(jìn)行系統(tǒng)界面

      (窗體、菜單以及相應(yīng)控制按鈕)的設(shè)計(jì)、連接與操縱數(shù)據(jù)庫(kù)方案的設(shè)計(jì),編寫程序。

      (4)系統(tǒng)運(yùn)行、調(diào)試并完善。

      (5)撰寫設(shè)計(jì)報(bào)告。

      第五篇:通信原理數(shù)字頻帶傳輸系統(tǒng)課程設(shè)計(jì)

      目 錄

      1技術(shù)要求..................................................................1 2基本原理..................................................................1 2.1 數(shù)字基帶傳輸系統(tǒng)的組成..............................................1 2.2 基帶傳輸?shù)某S么a型..................................................2 2.3 無(wú)碼間串?dāng)_的基帶傳輸特性............................................3 2.3.1 無(wú)碼間串?dāng)_的條件...............................................3 2.3.2 余弦滾降特性...................................................3 2.4 眼圖................................................................4 3 使用Matlab建立模型描述...................................................5 3.1 Simulink簡(jiǎn)介........................................................5 3.2 設(shè)計(jì)思路............................................................6 3.2.1 信源模塊.......................................................6 3.2.2 收發(fā)濾波器和信道模塊...........................................7 3.2.3 抽樣判決模塊...................................................9 3.2.4 誤碼率計(jì)算模塊.................................................9 3.2.5 整體設(shè)計(jì)電路圖................................................10 4 使用System View建立模型描述.............................................10 4.1 System View簡(jiǎn)介....................................................10 4.2 設(shè)計(jì)思路...........................................................11 5 模塊功能分析.............................................................12 5.1 用Simulink設(shè)計(jì)系統(tǒng).................................................12 5.2 用System View設(shè)計(jì)系統(tǒng)..............................................13 6 調(diào)試過(guò)程及結(jié)論...........................................................15 6.1 Simulink調(diào)試.......................................................15 6.1.1 Simulink調(diào)試結(jié)果..............................................15 6.1.2 Simulink調(diào)試結(jié)論..............................................17 6.2 System View調(diào)試....................................................17 6.2.1 System View調(diào)試結(jié)果...........................................17

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      6.2.2 System View調(diào)試結(jié)論...........................................18 6.3 兩種方案性能對(duì)比...................................................19 7 心得體會(huì).................................................錯(cuò)誤!未定義書(shū)簽。8 參考文獻(xiàn).................................................................19

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      數(shù)字基帶通信系統(tǒng)的設(shè)計(jì)

      1技術(shù)要求

      設(shè)計(jì)一個(gè)數(shù)字基帶傳輸系統(tǒng),要求:(1)設(shè)計(jì)一個(gè)數(shù)字基帶傳輸系統(tǒng)的結(jié)構(gòu);

      (2)根據(jù)通信原理,設(shè)計(jì)出各個(gè)模塊的參數(shù)(例如碼速率,濾波器的截止頻率等);(3)用Matlab或SystemView 實(shí)現(xiàn)該數(shù)字基帶通信系統(tǒng);(4)觀察仿真并進(jìn)行波形分析;(5)系統(tǒng)的性能評(píng)價(jià)。

      2基本原理

      2.1 數(shù)字基帶傳輸系統(tǒng)的組成

      在數(shù)字傳輸系統(tǒng)中,其傳輸?shù)膶?duì)象通常是二進(jìn)制數(shù)字信號(hào),它可能是來(lái)自計(jì)算機(jī)、電傳打字機(jī)或其它數(shù)字設(shè)備的各種數(shù)字脈沖,也可能是來(lái)自數(shù)字電話終端的脈沖編碼調(diào)制(PCM)信號(hào)。這些二進(jìn)制數(shù)字信號(hào)的頻帶范圍通常從直流和低頻開(kāi)始,直到某一頻率 m f,我們稱這種信號(hào)為數(shù)字基帶信號(hào)。在某些有線信道中,特別是在傳輸距離不太遠(yuǎn)的情況下,數(shù)字基帶信號(hào)可以不經(jīng)過(guò)調(diào)制和解調(diào)過(guò)程在信道中直接傳送,這種不使用調(diào)制和解調(diào)設(shè)備而直接傳輸基帶信號(hào)的通信系統(tǒng),我們稱它為基帶傳輸系統(tǒng)。而在另外一些信道,特別是無(wú)線信道和光信道中,數(shù)字基帶信號(hào)則必須經(jīng)過(guò)調(diào)制過(guò)程,將信號(hào)頻譜搬移到高頻處才能在信道中傳輸,相應(yīng)地,在接收端必須經(jīng)過(guò)解調(diào)過(guò)程,才能恢復(fù)數(shù)字基帶信號(hào)。我們把這種包括了調(diào)制和解調(diào)過(guò)程的傳輸系統(tǒng)稱為數(shù)字載波傳輸系統(tǒng)。

      系統(tǒng)基帶波形被脈沖變換器變換成適應(yīng)信道傳輸?shù)拇a型后,就送入信道,一方面受到信道特性的影響,使信號(hào)產(chǎn)生畸變;另一方面信號(hào)被信道中的加性噪聲所疊加,造成信號(hào)的隨即畸變。因此,在接收端必須有一個(gè)接收濾波器,使噪聲盡可能受到抑制,為了提高系統(tǒng)的可靠性,在安排一個(gè)有限整形器和抽樣判決器組成的識(shí)別電路,進(jìn)一步排除噪聲干擾和提取有用信號(hào)。對(duì)于抽樣判決,必須有同步信號(hào)提取電路。在基帶傳輸中,主要采用位同步。同步信號(hào)的提取方式采用自同步方式(直接法)。同步系統(tǒng)性能的好壞將直接影

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      響通信質(zhì)量的好壞,甚至?xí)绊懲ㄐ拍芊裾_M(jìn)行。

      數(shù)字基帶傳輸系統(tǒng)主要由信道信號(hào)形成器、信道、接收濾波器和抽樣判決器組成,其模型如圖1所示。

      圖1 數(shù)字基帶傳輸系統(tǒng)方框圖

      信道信號(hào)形成器:基帶傳輸系統(tǒng)的輸入是由終端設(shè)備或編碼器產(chǎn)生的脈沖序列,它不一定適合直接在信道中傳輸。信道信號(hào)形成器的作用就是把原始基帶信號(hào)變換成適合于信道傳輸?shù)幕鶐盘?hào),這種變換主要是通過(guò)碼型變換和波形變換來(lái)實(shí)現(xiàn)的,其目的是與信道匹配,便于傳輸,減小碼間串?dāng)_,利于同步提取和抽樣判決。

      信道:允許基帶信號(hào)通過(guò)的媒質(zhì)。信道的傳輸特性通常不滿足無(wú)失真?zhèn)鬏敆l件,恒參信道如(明線、同軸電纜、對(duì)稱電纜、光纖通道、無(wú)線電視距中繼、衛(wèi)星中繼信道)對(duì)信號(hào)傳輸?shù)挠绊懼饕蔷€形畸變;隨參信道如(短波電離層反射、對(duì)流層散射信道等)對(duì)信號(hào)傳輸?shù)挠绊懼饕蓄l率彌散現(xiàn)象(多徑傳播)、頻率的選擇性衰落。信道的線性噪聲和加性噪聲的影響。在通信系統(tǒng)的分析中,常常把噪聲n(t)等效,集中在信道中引入。

      接收濾波器:主要作用是濾除帶外噪聲,對(duì)信道特性均衡,使輸出的基帶波形有利于抽樣判決。

      抽樣判決器:它是在傳輸特性不理想及噪聲背景下,在由位定時(shí)脈沖控制的特殊點(diǎn)對(duì)接收濾波器的輸出波形進(jìn)行抽樣判決,以恢復(fù)或再生基帶信號(hào)。

      自同步法的同步提取電路:有兩部分組成,包括非線型變換處理電路和窄帶濾波器或鎖相環(huán)。非線型變換處理電路的作用是使接收信號(hào)或解調(diào)后的數(shù)字基帶信號(hào)經(jīng)過(guò)非線型變換處理電路后含有位同步分量或位同步信息。窄帶濾波器或鎖相環(huán)的作用是濾除噪聲和其他頻譜分量,提取純凈的位同步信號(hào)。

      2.2 基帶傳輸?shù)某S么a型

      為了在傳輸信道中獲得優(yōu)良的傳輸特性,一般要將信碼信號(hào)變化為適合于信道傳輸特性的傳輸碼,即進(jìn)行適當(dāng)?shù)拇a型變換。

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      對(duì)傳輸碼型的要求如下:

      (1)傳輸信號(hào)的頻譜中不應(yīng)有直流分量,低頻分量和高頻分量也要小;(2)碼型中應(yīng)包含定時(shí)信息,有利于定時(shí)信息的提取,盡量減小定時(shí)抖動(dòng);(3)功率譜主瓣寬度窄,以節(jié)省傳輸頻帶;

      (4)不受信息源統(tǒng)計(jì)特性的影響,即能適應(yīng)于信息源的變化;

      (5)具有內(nèi)在檢錯(cuò)能力,即碼型應(yīng)具有一定規(guī)律性,以便于利用這一規(guī)律性進(jìn)行宏觀監(jiān)測(cè);

      (6)編譯碼簡(jiǎn)單,以降低通信延時(shí)和成本。

      常用的碼型有AMI碼、HDB3碼、曼徹斯特雙相碼、差分雙相碼、密勒碼、CMI碼等。2.3 無(wú)碼間串?dāng)_的基帶傳輸特性

      所謂碼間串?dāng)_是由于系統(tǒng)傳輸總特性(包括收、發(fā)濾波器和信道的特性)不理想,導(dǎo)致前后碼元的波形畸變、展寬,并使前面波形出現(xiàn)很長(zhǎng)的拖尾,蔓延到當(dāng)前碼元的抽樣時(shí)刻上,從而對(duì)當(dāng)前碼元的判決造成干擾。

      2.3.1 無(wú)碼間串?dāng)_的條件

      無(wú)碼間串?dāng)_的時(shí)域條件為:h(t)的抽樣值除了在t=0時(shí)不為零外,在其他所有的抽樣點(diǎn)上均為零,就是不存在碼間串?dāng)_。表達(dá)式如下:

      h(kTs)?

      1k=0

      h(kTs)?0

      k為其他整數(shù)

      (1)

      無(wú)碼間串?dāng)_的頻域條件為:

      Heq(ω)?∑H(ω?2π i RB)?常數(shù)

      (2)

      2.3.2 余弦滾降特性

      升余弦滾降傳輸特性H(ω)可表示為

      H(?)?H0(?)?H1(?)

      (3)

      H(ω)是對(duì)截止頻率ωb的理想低通特性H0(ω)按H1(ω)的滾降特性進(jìn)行“圓滑”得到的,H1(ω)對(duì)于ωb具有奇對(duì)稱的幅度特性,其上、下截止角頻率分別為ωb+ω

      1、ωb-ω1。它的選取可根據(jù)需要選擇,升余弦滾降傳輸特性H1(ω)采用余弦函數(shù),此時(shí)H(ω)為

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      升余弦滾降函數(shù): h?t??sin?pi*T/Tb?cos(2*pi*T/Tb)

      (5)*2pi*T/Tb1?(2*?T/Tb)(4)

      其中α為滾降系數(shù)。α值越大,h(t)的拖尾衰減越快,對(duì)定位精度要求越低。但是滾降系數(shù)使帶寬增大,所以頻帶利用率低。

      2.4 眼圖

      眼圖是指利用實(shí)驗(yàn)的方法估計(jì)和改善(通過(guò)調(diào)整)傳輸系統(tǒng)性能時(shí)在示波器上觀察到的一種圖形。觀察眼圖的方法是:用一個(gè)示波器跨接在接收濾波器的輸出端,然后調(diào)整示波器掃描周期,使示波器水平掃描周期與接收碼元的周期同步,這時(shí)示波器屏幕上看到的圖形像人的眼睛,故稱為“眼圖”。從“眼圖”上可以觀察出碼間串?dāng)_和噪聲的影響,從而估計(jì)系統(tǒng)優(yōu)劣程度。另外也可以用此圖形對(duì)接收濾波器的特性加以調(diào)整,以減小碼間串?dāng)_和改善系統(tǒng)的傳輸性能。眼圖的“眼睛”張開(kāi)的大小反映著碼間串?dāng)_的強(qiáng)弱?!把劬Α睆埖脑酱?,且眼圖越端正,表示碼間串?dāng)_越??;反之表示碼間串?dāng)_越大。當(dāng)存在噪聲時(shí),噪聲將疊加在信號(hào)上,觀察到的眼圖的線跡會(huì)變得模糊不清。若同時(shí)存在碼間串?dāng)_,“眼睛”將張開(kāi)得更小。與無(wú)碼間串?dāng)_時(shí)的眼圖相比,原來(lái)清晰端正的細(xì)線跡,變成了比較模糊的帶狀線,而且不很端正。噪聲越大,線跡越寬,越模糊;碼間串?dāng)_越大,眼圖越不端正。眼圖對(duì)于展示數(shù)字信號(hào)傳輸系統(tǒng)的性能提供了很多有用的信息:可以從中看出碼間串?dāng)_的大小和噪聲的強(qiáng)弱,有助于直觀地了解碼間串?dāng)_和噪聲的影響,評(píng)價(jià)一個(gè)基帶系統(tǒng)的性能優(yōu)劣;可以指示接收濾波器的調(diào)整,以減小碼間串?dāng)_。

      眼圖的一般描述如圖2所示。

      圖2 眼圖的一般描述

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      對(duì)于該圖可獲得以下信息:

      (1)最佳抽樣時(shí)刻應(yīng)在“眼睛”張開(kāi)最大的時(shí)刻。

      (2)對(duì)定時(shí)誤差的靈敏度可由眼圖斜邊的斜率決定。斜率越大,對(duì)定時(shí)誤差就越靈敏。

      (3)在抽樣時(shí)刻上,眼圖上下兩分支陰影區(qū)的垂直高度,表示最大信號(hào)畸變。(4)眼圖中央的橫軸位置應(yīng)對(duì)應(yīng)判決門限電平。

      (5)在抽樣時(shí)刻上,上下兩分支離門限最近的一根線跡至門限的距離表示各相應(yīng)電平的噪聲容限,噪聲瞬時(shí)值超過(guò)它就可能發(fā)生錯(cuò)誤判決。

      (6)對(duì)于利用信號(hào)過(guò)零點(diǎn)取平均來(lái)得到定時(shí)信息的接收系統(tǒng),眼圖傾斜分支與橫軸相交的區(qū)域的大小,表示零點(diǎn)位置的變動(dòng)范圍,這個(gè)變動(dòng)范圍的大小對(duì)提取定時(shí)信息有重要的影響。使用Matlab建立模型描述

      3.1 Simulink簡(jiǎn)介

      Simulink是Matlab最重要的組件之一,它提供一個(gè)動(dòng)態(tài)系統(tǒng)建模、仿真和綜合分析的集成環(huán)境。在該環(huán)境中,無(wú)需大量書(shū)寫程序,而只需要通過(guò)簡(jiǎn)單直觀的鼠標(biāo)操作,就可構(gòu)造出復(fù)雜的系統(tǒng)。Simulink具有適應(yīng)面廣、結(jié)構(gòu)和流程清晰及仿真精細(xì)、貼近實(shí)際、效率高、靈活等優(yōu)點(diǎn),并基于以上優(yōu)點(diǎn)Simulink已被廣泛應(yīng)用于控制理論和數(shù)字信號(hào)處理的復(fù)雜仿真和設(shè)計(jì)。

      Simulink是用于動(dòng)態(tài)系統(tǒng)和嵌入式系統(tǒng)的多領(lǐng)域仿真和基于模型的設(shè)計(jì)工具。對(duì)各種時(shí)變系統(tǒng),包括通訊、控制、信號(hào)處理、視頻處理和圖像處理系統(tǒng),Simulink提供了交互式圖形化環(huán)境和可定制模塊庫(kù)來(lái)對(duì)其進(jìn)行設(shè)計(jì)、仿真、執(zhí)行和測(cè)試。

      Simulink模塊庫(kù)按功能進(jìn)行分類,包括以下8類子庫(kù):Continuous(連續(xù)模塊)、Discrete(離散模塊)、Function&Tables(函數(shù)和平臺(tái)模塊)、Math(數(shù)學(xué)模塊)、Nonlinear(非線性模塊)、Signals&Systems(信號(hào)和系統(tǒng)模塊)、Sinks(接收器模塊)、Sources(輸入源模塊)。

      啟動(dòng)Simulink只需在Matlab窗口中輸入指令Simulink即可打開(kāi)。

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      3.2 設(shè)計(jì)思路

      根據(jù)數(shù)字基帶傳輸系統(tǒng)方框圖,在設(shè)計(jì)時(shí)整個(gè)系統(tǒng)可分為信源模塊、收發(fā)濾波器和信道模塊、抽樣判決輸出模塊、誤碼率計(jì)算模塊這四個(gè)模塊,下面介紹每個(gè)模塊的設(shè)計(jì)思路。

      3.2.1 信源模塊

      常見(jiàn)的基帶信號(hào)波形有:?jiǎn)螛O性波形、雙極性波形、單極性歸零波形和雙極性歸零波形。雙極性波形可用正負(fù)電平的脈沖分別表示二進(jìn)制碼“0”和“1”,故當(dāng)“1”和“0”等概率出現(xiàn)時(shí)無(wú)直流分量,有利于在信道中傳輸,且在接收端恢復(fù)信號(hào)的判決電平為0,抗干擾能力較強(qiáng)。故單極性波形的極性單一,雖然易于用TTL,CMOS電路產(chǎn)生,但直流分量大,要求傳輸線路具有直流傳輸能力,不利于信道傳輸。歸零信號(hào)的占空比小于1,即:電脈沖寬度小于碼元寬度,每個(gè)有電脈沖在小于碼元長(zhǎng)度內(nèi)總要回到零電平,這樣的波形有利于同步脈沖的提取。

      基于以上考慮,本次課程設(shè)計(jì)我采用的碼型為曼徹斯特雙相碼,其編碼規(guī)則為:將二進(jìn)制碼“1”編成“10”,將二進(jìn)制碼“0”編成“01”。在這里采用了二進(jìn)制雙極性碼,則將“1”編成“+1-1”碼,將“0”編成“-1+1”碼。采用Simulink中的Bernoulli Binary Generator(不歸零二進(jìn)制碼生成器)、Unipolar to Bipolar Converter(單極性向雙極性轉(zhuǎn)換器)、Pulse Generator(脈沖生成器)、Constant(常數(shù)源模塊)、Add(加法器)、Product(乘法器)、Scope(示波器)構(gòu)成曼徹斯特碼生成電路。模塊連接圖如圖3所示。

      圖3 信源模塊連接圖

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      Bernoulli Binary Generator用于產(chǎn)生“1”和“0”的隨機(jī)信號(hào),經(jīng)過(guò)Unipolar to Bipolar Converter變?yōu)殡p極性信號(hào);Pulse Generator用于產(chǎn)生占空比為1/2的單極性歸零脈沖(2020),經(jīng)過(guò)Add加法器減一后成為雙極性脈沖(+1-1+1-1)。兩路雙極性信號(hào)作為乘法器的輸入,相乘后結(jié)果為:第1路不歸零碼的1碼與第2路(+1-1)相乘得到(+1-1),第1路-1碼與第2路(+1-1)相乘得到(-1+1)碼,形成了曼徹斯特碼。

      該模塊參數(shù)設(shè)置:原信號(hào)頻率設(shè)置為1000Hz,抽樣脈沖信號(hào)頻率為2000Hz。因?yàn)橛汕懊娴脑砜芍谠盘?hào)的一個(gè)碼元寬度對(duì)應(yīng)抽樣的兩個(gè)碼元寬度。具體參數(shù)設(shè)計(jì)如圖4所示。Bernoulli Binary Generator設(shè)置(左),Pulse Generator設(shè)置(右)。

      圖4 參數(shù)設(shè)置1

      3.2.2 收發(fā)濾波器和信道模塊

      本模塊由發(fā)送濾波器、傳輸信道、接受濾波器組成。1)發(fā)送、接受濾波器的設(shè)計(jì)

      基帶系統(tǒng)設(shè)計(jì)的核心問(wèn)題是濾波器的選取,為了使系統(tǒng)沖激響應(yīng)h(t)拖尾收斂速度加快,減小抽樣時(shí)刻偏差造成的碼間干擾問(wèn)題,要求發(fā)送濾波器應(yīng)具有升余弦滾降特性;要得到最大輸出信噪比,就要使接受濾波器特性與其輸入信號(hào)的頻譜滿足共軛匹配式如下:

      GR(w)?GT(w)e^(?jwt0)(6)

      ?GT(w)(7)同時(shí)系統(tǒng)函數(shù)滿足H(w)?GT(w)GR(w)考慮在t0時(shí)刻取樣,上述方程改寫為:

      GR(w)于是有:

      GR(w)?GT(w)?[H(w)]*(8)

      因此,在構(gòu)造系統(tǒng)時(shí)收發(fā)濾波器均采用平方根升余弦濾波器。

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      2)信道的設(shè)計(jì)

      信道是允許基帶信號(hào)通過(guò)的媒介,通常為有線信道。信道的傳輸特性通常不滿足無(wú)失真?zhèn)鬏敆l件,且含有加性噪聲。因此本次系統(tǒng)設(shè)計(jì)采用高斯白噪聲信道。

      為了減小碼間干擾,在最大輸出信噪比時(shí)刻輸出信號(hào),減小噪聲干擾,傳輸模塊由Upsample(內(nèi)插函數(shù))、Discrete Filter(根升余弦發(fā)送濾波器)、AWGN Channel(高斯信道)、Discrete Filter(根升余弦接收濾波器)組成。

      信號(hào)通過(guò)Upsample升采樣在相同的采樣時(shí)間內(nèi)將頻率變?yōu)樵瓉?lái)的10倍,再依次通過(guò)發(fā)送濾波器、信道、接受濾波器傳輸信號(hào)。

      整個(gè)模塊的連接圖如圖5所示。

      圖5 收發(fā)濾波器和信道模塊連接圖

      該模塊參數(shù)設(shè)置:根升余弦滾降收、發(fā)濾波器的參數(shù)為rcosine(2,10,'fir/sqrt',0.5,10);參數(shù)的含義為rcosine(Fd,Fs,type_flag,r,delay),其中Fd/2為截止頻率,fir/sqrt為均方根FIR濾波器,delay為延時(shí)時(shí)間。信道采用高斯信道,噪聲大小為50dB,此數(shù)值為最佳噪聲大小。具體參數(shù)設(shè)置如圖6所示。左為濾波器參數(shù),右為信道參數(shù)。

      圖6 參數(shù)設(shè)置2

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      3.2.3 抽樣判決模塊

      由于采用的為雙極性碼,所以抽樣電平為“0”,抽樣判決規(guī)則為:大于“0”判“1”,小于“0”判“-1”。

      利用Pulse Generator(脈沖生成器)、Product(乘法器)、Relay(滯環(huán)比較器)、Triggered Subsystem(觸發(fā)子系統(tǒng))、Downsample(內(nèi)插函數(shù))構(gòu)成抽樣判決電路,并通過(guò)Pulse Generator(脈沖生成器)、Constant(常數(shù))、Add(加法器)、Product(乘法器)對(duì)接收到的曼徹斯特碼進(jìn)行解碼。整個(gè)抽樣判決模塊電路圖如圖7所示。

      圖7 抽樣判決模塊電路圖

      如圖可知本模塊的設(shè)計(jì)思路:將接收到的信號(hào)與脈沖信號(hào)相乘,相當(dāng)于進(jìn)行了采樣,之后通過(guò)Relay比較器進(jìn)行判決,大于“0”判“1”,小于“0”判“-1”;之后通過(guò)Triggered Subsystem(觸發(fā)子系統(tǒng))進(jìn)行時(shí)機(jī)采集,每段時(shí)間內(nèi)只采集一次,最后通過(guò)內(nèi)插函數(shù)恢復(fù)到原來(lái)的頻率上。此時(shí)得到的為曼徹斯特碼,要得到原來(lái)的雙極性碼必須經(jīng)過(guò)解碼電路,即圖中所示:按照曼徹斯特碼的編寫過(guò)程對(duì)其進(jìn)行反變換,應(yīng)為+1與-1本身極性相反所以逆變換的過(guò)程就是其編碼的過(guò)程。

      該模塊參數(shù)設(shè)置:脈沖信號(hào)頻率為20000Hz,因?yàn)椴蓸狱c(diǎn)頻率需要遠(yuǎn)大于信號(hào)頻率;Delay判決門限電平為“0”,大于“0”判“+1”,小于“0”判“-1”。參數(shù)設(shè)置在此不再截圖。

      3.2.4 誤碼率計(jì)算模塊

      為了計(jì)算整個(gè)系統(tǒng)的性能,在最后加了一個(gè)誤碼率計(jì)算的模塊,因?yàn)闇y(cè)試下來(lái)最后的解碼相對(duì)于原碼有一定的延遲,所以對(duì)原碼加上一個(gè)延遲函數(shù)再對(duì)于解碼做誤碼率的計(jì)

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      算。模塊電路圖如圖8所示。

      圖8 誤碼率計(jì)算模塊

      3.2.5 整體設(shè)計(jì)電路圖

      綜合了以上的四個(gè)模塊,并在相應(yīng)的地方添加示波器以便于波形的觀察,在接受濾波器后添加眼圖來(lái)觀察系統(tǒng)是否存在碼間串?dāng)_和噪聲,用以判別系統(tǒng)的整體性能。系統(tǒng)整體設(shè)計(jì)電路圖如圖9所示。

      圖9 系統(tǒng)整體設(shè)計(jì)電路圖 使用System View建立模型描述

      4.1 System View簡(jiǎn)介

      System View 是一個(gè)用于現(xiàn)代工程與科學(xué)系統(tǒng)設(shè)計(jì)及仿真的動(dòng)態(tài)系統(tǒng)分析平臺(tái)。從濾

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      波器設(shè)計(jì)、信號(hào)處理、完整通信系統(tǒng)的設(shè)計(jì)與仿真,直到一般的系統(tǒng)數(shù)學(xué)模型建立等各個(gè)領(lǐng)域,System View 在友好而且功能齊全的窗口環(huán)境下,為用戶提供了一個(gè)精密的嵌入式分析工具。

      利用System View,可以構(gòu)造各種復(fù)雜的模擬、數(shù)字、數(shù)?;旌舷到y(tǒng)和各種多速率系統(tǒng),因此,它可用于各種線性或非線性控制系統(tǒng)的設(shè)計(jì)和仿真。用戶在進(jìn)行系統(tǒng)設(shè)計(jì)時(shí),只需從System View配置的圖標(biāo)庫(kù)中調(diào)出有關(guān)圖標(biāo)并進(jìn)行參數(shù)設(shè)置,完成圖標(biāo)間的連線,然后運(yùn)行仿真操作,最終以時(shí)域波形、眼圖、功率譜等形式給出系統(tǒng)的仿真分析結(jié)果。

      4.2 設(shè)計(jì)思路

      System View整個(gè)系統(tǒng)框圖較為簡(jiǎn)單,信號(hào)直接通過(guò)與隨機(jī)噪聲相加的信道,再通過(guò)巴特沃斯濾波器,再經(jīng)過(guò)抽樣判決輸出。整個(gè)系統(tǒng)框圖如圖10所示。

      圖10 System View整個(gè)系統(tǒng)框圖

      參數(shù)設(shè)置如下:

      Token0:Source――Noise/PN――Pn Seg(幅度1V,頻率10HZ,電平數(shù)2,偏移0V,產(chǎn)生單極性不歸零碼,隨機(jī)產(chǎn)生)

      Token1:在專業(yè)庫(kù)中選擇Comm——Processors——P shape(Select pulse Shape= Rectangular,Time offset=0,Width=0.01s,產(chǎn)生矩形脈沖基帶信號(hào))

      Token3:Source――Noise/PN――Gauss Noise(均值為0,均方差為0.1的高斯白噪聲)Token4:Operator――Filters/systems――Liner Sys Filters(Analog,Butterworth,階數(shù)5,截止頻率10Hz)

      Token5:Operator――Sample/Hold――Sample(Sample rate=10HZ,用于對(duì)濾波后的波 形進(jìn)行抽樣,抽樣速率等于碼元速率)

      Token6:Operator――Sample/Hold――Hold(Hold Value=Last Sample,Gain=1,對(duì)抽 樣后的值延時(shí)一段時(shí)間,得到恢復(fù)后的數(shù)字基帶信號(hào))

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      Token7:Operator——Logic——Compare(Select comparison:a>=b True Output=1V,F(xiàn)alse Output=-1V,對(duì)抽樣值進(jìn)行判決比較,得到輸出碼元波形)

      Token8:產(chǎn)生正弦信號(hào),作為比較器的另一個(gè)比較輸入(振幅=0V,頻率=10Hz)這里采用的濾波器為巴特沃斯數(shù)字濾波器,其特性也具有尾部收斂速度較快的特點(diǎn),只要設(shè)置相應(yīng)的階數(shù)和頻率,就可以消除信道中的噪聲和碼間串?dāng)_,但依舊會(huì)有延時(shí)產(chǎn)生,但延時(shí)較小,可以忽略。在System View中依舊可以采用眼圖來(lái)觀察系統(tǒng)的性能設(shè)計(jì)是否滿足傳輸條件。整個(gè)系統(tǒng)的設(shè)計(jì)思想跟Simulink基本一致,只是在System View中運(yùn)用的較為直白,這里不再敘述。模塊功能分析

      5.1 用Simulink設(shè)計(jì)系統(tǒng)

      模塊的分類以及功能設(shè)計(jì)已在第3部分中加以說(shuō)明,下面結(jié)合每部分的波形來(lái)對(duì)相應(yīng)模塊進(jìn)行分析。

      1)信源模塊:調(diào)試點(diǎn)波形如圖11所示。

      圖11 信源模塊調(diào)試點(diǎn)波形

      由波形可知該模塊可產(chǎn)生曼徹斯特雙相碼。

      2)收發(fā)濾波器和信道模塊:本模塊包含了兩個(gè)濾波器和一個(gè)信道,為了展現(xiàn)個(gè)部分功能,共引入了4路信號(hào)波形,用來(lái)觀察信號(hào)從發(fā)送到接收的整個(gè)狀態(tài),包括延時(shí)、波形轉(zhuǎn)換,同時(shí)可以觀察到濾波器和信道的性能是否滿足設(shè)計(jì)要求。這四個(gè)信號(hào)波形分別為發(fā)送濾波器前的發(fā)送信號(hào)

      1、經(jīng)過(guò)發(fā)送濾波器的信號(hào)

      2、經(jīng)過(guò)信道的信號(hào)

      3、經(jīng)過(guò)接收濾波器的信號(hào)4。調(diào)試點(diǎn)波形如圖12所示。

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      圖12 收發(fā)濾波器和信道模塊調(diào)試點(diǎn)波形

      通過(guò)各點(diǎn)波形可以看出發(fā)送接收濾波器相比較前一個(gè)波形均有延時(shí),經(jīng)過(guò)高斯信道后波形明顯增加了噪聲,有一些雜波,在經(jīng)過(guò)接受濾波器后被消除。該模塊大大減弱了信號(hào)傳輸過(guò)程中所會(huì)遇到的碼間串?dāng)_和噪聲問(wèn)題的影響。這一性能可通過(guò)眼圖觀察出來(lái)。

      3)抽樣判決模塊:將信道接收到的信號(hào)通過(guò)抽樣判決輸出,各點(diǎn)波形如圖13所示。

      圖13 抽樣判決模塊調(diào)試點(diǎn)波形

      通過(guò)各點(diǎn)的波形可以看出在接收到的信號(hào)經(jīng)過(guò)判決門限判決后需要經(jīng)過(guò)不止一次的分時(shí)分頻,為了結(jié)果的更精確,需進(jìn)行多次采集,最后可判決出正確的波形。

      5.2 用System View設(shè)計(jì)系統(tǒng)

      采用此種方法的中間點(diǎn)波形如圖14所示。

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      圖14 System View各點(diǎn)調(diào)試波形圖

      通過(guò)各個(gè)調(diào)試點(diǎn)的波形可以看出其對(duì)應(yīng)的功能,因?yàn)榍懊婊窘榻B,這里不再述說(shuō)。通過(guò)波形可以發(fā)現(xiàn),信號(hào)在通過(guò)巴特沃斯濾波器后產(chǎn)生了一些延時(shí),這可能是由濾波器本身的特性而導(dǎo)致的。而通過(guò)采樣后的波形可以看出明顯的門限電平為“0”,可以判別出信號(hào)的原始碼型。

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū) 調(diào)試過(guò)程及結(jié)論

      6.1 Simulink調(diào)試

      6.1.1 Simulink調(diào)試結(jié)果

      系統(tǒng)最終解碼與原碼波形如圖15所示。

      圖15 最終調(diào)試波形1

      在原碼后添加一個(gè)10ms的延時(shí)函數(shù)器件,輸出波形如圖16所示。

      圖16 最終調(diào)試波形2

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      用眼圖來(lái)觀察信道傳輸后的性能,在接收濾波器后添加眼圖,視圖如圖17所示。

      圖17 眼圖示意圖

      最后輸出信號(hào)的頻譜圖如圖18所示。

      圖18 輸出信號(hào)頻譜圖

      誤碼率的計(jì)算值如圖19所示,此時(shí)高斯噪聲的大小約為50dB。

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      圖19 誤碼率計(jì)算

      6.1.2 Simulink調(diào)試結(jié)論

      通過(guò)波形比較、眼圖以及信號(hào)頻譜圖可以得出以下結(jié)論:

      1)系統(tǒng)解碼相對(duì)原碼延時(shí)了10ms的時(shí)長(zhǎng),延時(shí)主要受兩個(gè)升余弦濾波器的影響; 2)在信道傳輸信號(hào)后,眼圖的眼睛張開(kāi)較大,沒(méi)有過(guò)零點(diǎn)失真,噪聲也基本沒(méi)有,說(shuō)明信道模塊設(shè)計(jì)性能基本滿足要求;

      3)系統(tǒng)的誤碼率為0.004498,在2001個(gè)碼元中有9個(gè)錯(cuò)碼,誤碼率很小但不為零,說(shuō)明在解碼的過(guò)程中受到了系統(tǒng)噪聲的干擾,由于誤碼率較小,基本可認(rèn)為達(dá)到了設(shè)計(jì)要求。

      6.2 System View調(diào)試

      6.2.1 System View調(diào)試結(jié)果

      系統(tǒng)最后輸出的解碼與原碼波形如圖20所示。

      圖20 最終調(diào)試波形

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      在濾波器后觀察眼圖,視圖如圖21所示。

      圖21 眼圖示意圖

      輸出信號(hào)頻譜圖如圖22所示。

      圖22 輸出信號(hào)頻譜圖

      6.2.2 System View調(diào)試結(jié)論

      通過(guò)波形和眼圖,可以得出以下結(jié)論:

      1)系統(tǒng)解碼相對(duì)原碼有延時(shí),但時(shí)長(zhǎng)很短,為10e-3級(jí)別,延時(shí)主要受巴特沃斯濾波器的影響;

      2)通過(guò)對(duì)眼圖的觀察,可以發(fā)現(xiàn)眼圖張開(kāi)較大,但有少部分雜亂的線,說(shuō)明存在噪聲,但通過(guò)波形來(lái)看,幾乎沒(méi)有失真。

      3)整個(gè)系統(tǒng)性能調(diào)節(jié)達(dá)到設(shè)計(jì)要求。

      武漢理工大學(xué)《通信原理》課程設(shè)計(jì)說(shuō)明書(shū)

      6.3 兩種方案性能對(duì)比

      通過(guò)調(diào)試觀察波形、眼圖以及頻譜圖,對(duì)比兩個(gè)方案的總體系統(tǒng)性能,可以發(fā)現(xiàn),在Simulink中設(shè)計(jì)的系統(tǒng)性能較為良好,我認(rèn)為原因在于濾波器的設(shè)計(jì),在Simulink中采用的是升余弦濾波器,更有助于實(shí)現(xiàn)無(wú)碼間串?dāng)_傳輸,巴特沃斯濾波器雖然尾部收斂也比較快,但是對(duì)于數(shù)字基帶傳輸?shù)男阅懿蝗缟嘞覟V波器。參考文獻(xiàn)

      [1] 樊昌信,曹麗娜.《通信原理(第6版)》.北京:國(guó)防工業(yè)出版社,2008.[2] 陳星,劉斌.SystemView通信原理實(shí)驗(yàn)指導(dǎo).北京航空航天大學(xué)電子工程系內(nèi)部講義,1997.

      下載PLD可編程數(shù)字系統(tǒng)課程設(shè)計(jì)內(nèi)容(電信10)word格式文檔
      下載PLD可編程數(shù)字系統(tǒng)課程設(shè)計(jì)內(nèi)容(電信10).doc
      將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
      點(diǎn)此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦

        電信系統(tǒng)各專業(yè)內(nèi)容介紹

        電信系統(tǒng)各專業(yè)內(nèi)容介紹 1、傳輸和線路專業(yè) 1.1、概述 傳輸分為一級(jí)干線長(zhǎng)途傳輸、二級(jí)干線長(zhǎng)途傳輸、本地傳輸、接入網(wǎng)、微波和衛(wèi)星通信等。在電信行業(yè)重組前,我國(guó)建成了八......

        數(shù)字電路與系統(tǒng)課程設(shè)計(jì)-設(shè)計(jì)8位串行數(shù)字鎖電路

        大連理工大學(xué)城市學(xué)院 大連理工大學(xué)城市學(xué)院 數(shù)字電路與系統(tǒng)課程設(shè)計(jì) 設(shè)計(jì)題目:設(shè)計(jì)8位串行密碼鎖 8位串行數(shù)字鎖電路 學(xué) 院:電子與自動(dòng)化學(xué)院專 業(yè):學(xué) 生: 同 組 人: 指導(dǎo)教師:完......

        通信原理課程設(shè)計(jì)---2FSK數(shù)字調(diào)制系統(tǒng)仿真和分析

        課程設(shè)課程設(shè)計(jì)名稱:專 業(yè) 班 級(jí) : 學(xué) 生 姓 名 : 學(xué) 號(hào) : 指 導(dǎo) 教 師 : 課程設(shè)計(jì)時(shí)間: 計(jì) 1 需求分析 二進(jìn)制頻移鍵控(2FSK)數(shù)字調(diào)制系統(tǒng): 1、主要功能:對(duì)信號(hào)編碼形成的0、1......