欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      開發(fā)工具M(jìn)ax+plus II的使用24譯碼器38譯碼器[范文大全]

      時(shí)間:2019-05-15 06:54:45下載本文作者:會(huì)員上傳
      簡介:寫寫幫文庫小編為你整理了多篇相關(guān)的《開發(fā)工具M(jìn)ax+plus II的使用24譯碼器38譯碼器》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫寫幫文庫還可以找到更多《開發(fā)工具M(jìn)ax+plus II的使用24譯碼器38譯碼器》。

      第一篇:開發(fā)工具M(jìn)ax+plus II的使用24譯碼器38譯碼器

      VHDL與復(fù)雜數(shù)字系統(tǒng)設(shè)計(jì)

      上機(jī)實(shí)驗(yàn)1:開發(fā)工具M(jìn)ax+plus II的使用

      一、實(shí)驗(yàn)?zāi)康模?/p>

      1.學(xué)習(xí)開發(fā)工具M(jìn)ax+plus II的安裝;

      2.掌握?qǐng)D形輸入法設(shè)計(jì)簡單邏輯電路的操作步驟。

      二、實(shí)驗(yàn)內(nèi)容:

      1.利用基本元件庫,采用圖形輸入法設(shè)計(jì)一個(gè)帶使能端的2-4譯碼器; 2.利用你設(shè)計(jì)的2-4譯碼器完成3-8譯碼器的設(shè)計(jì)。

      三、實(shí)驗(yàn)過程:

      1.2-4譯碼器 原理簡述:

      譯碼器的輸入代碼的組合將在某一個(gè)輸出端產(chǎn)生特定的信號(hào)。譯碼是編碼的逆過程,在編碼時(shí),每一種二進(jìn)制代碼狀態(tài)都賦予了特定的含義,即都表示一個(gè)確定的信號(hào)或者對(duì)象。把代碼狀態(tài)的特定含義翻譯出來的過程稱為譯碼。在數(shù)字電路中,能夠?qū)崿F(xiàn)譯碼功能的邏輯部件稱譯碼器。2-4譯碼器可實(shí)現(xiàn)二輸入四輸出的譯碼行為。電原理圖:

      電路符號(hào)圖:

      仿真波形圖:

      定時(shí)分析:

      結(jié)論:

      經(jīng)過設(shè)計(jì)編輯與波形仿真,可以知道所設(shè)計(jì)的2—4譯碼器符合設(shè)計(jì)要求,能實(shí)現(xiàn)所要求的結(jié)果,2—4譯碼器設(shè)計(jì)成功。

      2.3-8譯碼器原理簡述: 原理簡述:

      采用兩塊2-4譯碼器分別用做高4位和低4位譯碼輸出。電原理圖:

      電路符號(hào)圖:

      仿真波形圖:

      定時(shí)分析:

      結(jié)論:

      經(jīng)過設(shè)計(jì)編輯與波形仿真,可以知道所設(shè)計(jì)的3—8譯碼器符合設(shè)計(jì)要求,能實(shí)現(xiàn)所要求的結(jié)果,3—8譯碼器設(shè)計(jì)成功。

      三、思考與分析

      邏輯門電路的延時(shí)將對(duì)輸出結(jié)果產(chǎn)生出一定影響。但是,實(shí)際應(yīng)用中所有邏輯門電路都將有延時(shí)產(chǎn)生。因此,仿真設(shè)計(jì)時(shí),合理地設(shè)計(jì)延時(shí)效果是有必要的;

      在資源有限情況下,可以合理利用現(xiàn)有資源,設(shè)計(jì)出符合要求的邏輯電路。問題提出:定時(shí)分析中所對(duì)應(yīng)的關(guān)系不明白是什么意思。

      第二篇:數(shù)字電路技術(shù)與基礎(chǔ),譯碼器教案

      上節(jié)課我們學(xué)習(xí)了編碼器,這節(jié)課我們開始學(xué)習(xí)譯碼器。

      一、譯碼器(1)譯碼器的概念

      譯碼器完成譯碼的功能。所謂譯碼其實(shí)就是編碼的逆過程,他的邏輯功能是將輸入二進(jìn)制代碼的原意“譯成”相應(yīng)的狀態(tài)信息。

      (2)譯碼器的分類:

      譯碼器有兩種類型:一類是變量譯碼器,也稱為唯一地址譯碼器。常用于計(jì)算機(jī)中將一個(gè)地址代碼轉(zhuǎn)換成一個(gè)有效信號(hào);

      另一類是顯示譯碼器,主要用于驅(qū)動(dòng)數(shù)碼管顯示數(shù)字或符號(hào)。下面我們就先來了解一下變量譯碼器。

      首先,我們先來看一下它的原理框圖。

      n

      它有n個(gè)輸入端,m個(gè)譯碼輸出端,m≤2.譯碼器工作時(shí),對(duì)于 n變量的每一組輸入代碼,m個(gè)輸出中僅有一個(gè)為有效電平,其余輸出均為無效電平。(圖見P71頁)

      二、變量譯碼器

      二進(jìn)制譯碼器有n位輸入,2位輸出。滿足常用芯片:74LS139(雙2-4線譯碼器)74LS138(3-8線譯碼器)74LS154(4線-16線譯碼器)1、2-4譯碼器

      n

      N

      M=2.①邏輯符號(hào)輸入端:A1,A0 輸出端:Y0'-Y3' 使能端:E' 2-4譯碼器的功能表如下圖

      EA1 A0Y0Y1Y2Y31××1 1 1 100 100 1 1 1001101 0 1 1 01 01 1 0 101 11 1 1 0②邏輯功能

      a)使能端:E=0,譯碼器工作,E=1編碼器不工作 b)輸入輸出關(guān)系

      每一組輸入只一個(gè)輸出為0,輸出為0表有輸出。

      設(shè)mi和Mi是A1、A0的最小項(xiàng)和最大項(xiàng),則由真值表知 Yi'=Mi=mi'(i=0,1,2,3)故變量譯碼器也叫最小項(xiàng)發(fā)生器 2、3-8譯碼器

      ①邏輯符號(hào)輸入端: A0,A1,A2 輸出端:Y1'-Y7' 使能端:E1,E2',E3' ②邏

      輯功

      能E1 E2+E3

      a)使能端:只有E1=1,E2=E3=0,譯碼器才工作 b)輸入輸出關(guān)系:

      每一組輸入只一個(gè)輸出為0,輸出為0表有輸出。

      設(shè)mi和Mi是A2A1A0的最小項(xiàng)和最大項(xiàng),則由真值表知 Yi'=Mi=mi'

      三、例題

      【例4.3.1】用3—8譯碼器實(shí)現(xiàn)函數(shù):

      F1??m(0,4,7)F2??m(1,2,3,5,6,7)

      解:

      將函數(shù)變量A、B、C作為譯碼器的輸入,則譯碼器的輸出Y0~Y7為8個(gè)最大項(xiàng):M0~M7。將這8個(gè)輸出組合起來,可得到3變量的任意邏輯函數(shù)。

      F1?m0?m4?m7?m0?m4?m7?M0?M4?M7?Y0?Y4?Y7F2??m(1,2,3,5,6,7)?M0M4?Y0?Y4

      【例4.3.2】 用一片3-8譯碼器74LS138和門電路設(shè)計(jì)多地址譯碼電路。電路地址輸入線:A7~A0,要求: 地址碼=C0H~C7H時(shí) , 譯碼器Y0~Y7分別被譯中(低電平有效)解:(1)列輸入輸出關(guān)系表

      由“地址碼=C0H~C7H時(shí) , 譯碼器Y0~Y7分別被譯中 ”,易得 地址碼A7 A6 A5 A4 A3 A2 A1 A0Y01 1 0 0 0 0 0 01 1 0 0 0 0 0 11 1 0 0 0 0 1 01 1 0 0 0 0 1 11 1 0 0 0 1 0 01 1 0 0 0 1 0 11 1 0 0 0 1 1 01 1 0 0 0 1 1 1Y1Y2Y3Y4Y5Y6Y7C0HC1HC2HC3HC4HC5HC6HC7H 0 1 1 1 1 1 1 110 1 1 1 1 1 11 1 0 1 1 1 1 111 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 111 1 1 1 1 0 11 1 1 1 1 1 1 0

      2)電路的設(shè)計(jì)

      ①地址碼A7A6A5A4A3=11000不變,于是可由它們控制74LS138的使能端 電路如下圖

      很明顯,只有 A7A6A5A4A3=11000時(shí),芯片才工作。

      ②地址碼A2A1A0在000~111間變化,故它們與74LS138的對(duì)應(yīng)輸入端相連(如圖)。

      很明顯:A2A1A0在000~111間變化時(shí),譯碼器Y0~Y7分別被譯中。

      第三篇:4線10線譯碼器

      library ieee;use ieee.std_logic_1164.all;entity decoder410a is port(A:in std_logic_vector(3 downto 0);

      Y:out std_logic_vector(9 downto 0));end decoder410a;architecture two of decoder410a is begin

      with A select Y<=“1111111110”when“0000”,“1111111101”when“0001”,“1111111011”when“0010”,“1111110111”when“0011”,“1111101111”when“0100”,“1111011111”when“0101”,“1110111111”when“0110”,“1101111111”when“0111”,“1011111111”when“1000”,“0111111111”when“1001”,“ZZZZZZZZZZ”when others;end two;

      第四篇:數(shù)字電子技術(shù)譯碼器教案

      譯碼器教案

      教學(xué)內(nèi)容:譯碼器

      教學(xué)重點(diǎn):二進(jìn)制譯碼的原理及應(yīng)用

      教學(xué)難點(diǎn):原理分析和集成電路的功能擴(kuò)展 教學(xué)方法:仿真演示 教學(xué)過程:

      一、復(fù)習(xí)導(dǎo)入新課

      本次課我們學(xué)習(xí)的內(nèi)容是譯碼器,譯碼是編碼的逆過程,我們先復(fù)習(xí)一下編碼的概念,什么叫編碼?

      答:用二進(jìn)制代碼表示文字、符號(hào)或者數(shù)碼等特定對(duì)象的過程,稱為編碼。比如:要給每一位同學(xué)編一個(gè)二進(jìn)制代碼,就是編碼。那么我們?cè)購?fù)習(xí)一下,問題:全班有42名同學(xué),要給每一位同學(xué)編一個(gè)二進(jìn)制代碼,需幾位二進(jìn)制代碼才能完成編碼?

      答案: N位二進(jìn)制代碼可以表示2N個(gè)信號(hào),則對(duì)M個(gè)信號(hào)編碼時(shí),應(yīng)由2N ≥M來確定位數(shù)N。25=32<42,26=64>42,故選N=6,即用6位二進(jìn)制數(shù)可對(duì)42名同學(xué)進(jìn)行編碼。

      對(duì)每位同學(xué)編碼以后,我只需要叫同學(xué)的代碼,相應(yīng)的同學(xué)就會(huì)答應(yīng),這就是譯碼。

      二、新課

      (一)二進(jìn)制譯碼器

      1、譯碼及譯碼器

      譯碼: 編碼的逆過程,將編碼時(shí)賦予代碼的特定含義“翻譯”出來。譯碼器: 實(shí)現(xiàn)譯碼功能的電路。

      常用的譯碼器有二進(jìn)制譯碼器、二-十進(jìn)制、譯碼器和顯示譯碼器等。

      2、二進(jìn)制譯碼器

      輸入:二進(jìn)制代碼(N位),輸出:2N個(gè),每個(gè)輸出僅包含一個(gè)最小項(xiàng)。

      比如:輸入是三位二進(jìn)制代碼、有八種狀態(tài),八個(gè)輸出端分別對(duì)應(yīng)其中一種輸入狀態(tài)。因此,又把三位二進(jìn)制譯碼器稱為3線—8線譯碼器。

      3、3線—8線譯碼器集成電路74LS138的邏輯功能及內(nèi)部電路分析

      見ppt,通過點(diǎn)擊“仿真”按鈕播放工作過程影音文件

      強(qiáng)調(diào)分析:低電平有效,使能端

      74LS138的邏輯功能:

      三個(gè)譯碼輸入端(又稱地址輸入端)A2、A1、A0,八個(gè)譯碼輸出端Y0~Y7,以及三個(gè)控制端(又稱使能端)S1、S2、S3。

      S1、S2、S3 是譯碼器的控制輸入端,當(dāng)S1 =

      1、S2 + S3 = 0(即S1 = 1, S2 和S3均為0)時(shí),GS輸出S為高電平,譯碼器處于工作狀態(tài)。否則,譯碼器被禁止,所有的輸出端被封鎖在高電平。

      當(dāng)譯碼器處于工作狀態(tài)時(shí),每輸入一個(gè)二進(jìn)制代碼將使對(duì)應(yīng)的一個(gè)輸出端為低電平,而其它輸出端均為高電平。也可以說對(duì)應(yīng)的輸出端被“譯中”。74LS138輸出端被“譯中”時(shí)為低電平,所以其邏輯符號(hào)中每個(gè)輸出端上方 均有“—”符號(hào)。

      4、應(yīng)用舉例

      (1)、功能擴(kuò)展(利用使能端實(shí)現(xiàn)),見ppt(2)、實(shí)現(xiàn)組合邏輯函數(shù)F(A,B,C)

      見ppt 通過點(diǎn)擊“仿真”按鈕超鏈接到仿真軟件“D:Program FilesLabcenter ElectronicsProteus 7 ProfessionalBINISIS.EXE”仿真演示。

      (二)二__十進(jìn)制譯碼器

      二__十進(jìn)制譯碼器的邏輯功能是將輸入的BCD碼譯成十個(gè)輸出信號(hào)。邏輯符號(hào)和功能表見ppt

      相關(guān)分析(略)

      三、總結(jié)(略)

      課后練習(xí)

      如何用74LS138譯碼器實(shí)現(xiàn)如下邏輯函數(shù)?

      F(A,B,C)?m(1,2,7)

      教材上作用布置,見ppt。

      ? 2

      第五篇:數(shù)字電路實(shí)驗(yàn)報(bào)告西北工業(yè)大學(xué)(譯碼器.編碼器)-肖輝

      數(shù)字電路技術(shù)實(shí)驗(yàn)報(bào)告

      學(xué)號(hào):2011302647

      姓名:肖輝

      日期:2013.5.7

      一、實(shí)驗(yàn)?zāi)康模?/p>

      (1)掌握中規(guī)模集成譯碼器的邏輯功能和使用方法.(2)掌握中規(guī)模集成編碼器的邏輯功能和使用方法.(3)熟悉掌握集成譯碼器74LS138的應(yīng)用方法.(4)掌握集成譯碼器的擴(kuò)展方法

      二、實(shí)驗(yàn)設(shè)備:(1)數(shù)字電路實(shí)驗(yàn)箱.(2)74LS20.(3)74LS138.三、實(shí)驗(yàn)原理:

      譯碼器是一個(gè)多輸入多輸出的組合電路,它的作用是將輸?shù)木哂刑囟êx的二進(jìn)制代碼翻譯成輸出信號(hào)的不同組合,實(shí)現(xiàn)電路的邏輯控制功能.譯碼器在數(shù)字領(lǐng)域中應(yīng)用廣泛,可用于代碼轉(zhuǎn)換,終端數(shù)字顯示;數(shù)據(jù)的分配,存儲(chǔ)器尋址的組合控制信號(hào)等等.譯碼器可以分為通用譯碼器和顯示譯碼器兩種變量譯碼器又稱二進(jìn)制譯碼器,表示n個(gè)變量可以產(chǎn)生2(n)個(gè)輸入函數(shù)常用的有74LS755,74LS138,74LS154

      四、實(shí)驗(yàn)內(nèi)容:

      (1)74LS138譯碼器邏輯功能的測試

      (2)利用3—8譯碼器74LS138

      和與非門

      74LS20

      實(shí)驗(yàn)函數(shù):

      (3)用兩片74LS138組成4-16線譯碼器;

      五、實(shí)驗(yàn)結(jié)果:

      VCC1VCC5V1J11322Key = Space31U12VCC1J2132Key = Space312J31322123ABCKey = Space336G1GND4~G2A58~G2BGNDVCCY0Y1Y2Y3Y4Y5Y6Y716***09754107U212345671AVCC1B2DNC2C1CNC11D2B1Y2AGND2Y14***4LS138D874LS20NLED1AAKC91R1122330ΩGND1GND

      VCC15VVCCVCCJ231321217U1123ABCG1~G2A~G2BGNDVCCY0Y1Y2Y3Y4Y5Y6Y716***097Key = SpaceJ***45881234567LED112345678A1234567816***0916***09Key = Space20J33113221174LS138D19U2123ABCG1~G2A~G2BGNDVCCY0Y1Y2Y3Y4Y5Y6Y716***097Key = Space***41516LED212345678A1234567816***0916***09J431321274LS138DKey = Space11VCC5VVCC5VLED1R1LED2J1U1Key = SpaceJ2123645ABCG1~G2A~G2BY0Y1Y2Y3Y4Y5Y6Y7***097330ΩR2330ΩR3330ΩR4330ΩR5330ΩR6330ΩR7330ΩR8330ΩLED3LED4LED5LED6LED7LED8Key = SpaceJ374S138DKey = SpaceGND

      六、心得體會(huì)

      本次試驗(yàn)要求通過實(shí)驗(yàn)的方法學(xué)習(xí)數(shù)據(jù)選擇器的電路結(jié)構(gòu)和特點(diǎn);掌握數(shù)據(jù)選擇器的邏輯功能及其基本應(yīng)用。我們使用數(shù)字電路實(shí)驗(yàn)箱,74LS20,74LS128等設(shè)備完成了全加器的實(shí)現(xiàn)。雖然沒有在規(guī)定時(shí)間內(nèi)實(shí)現(xiàn)導(dǎo)彈發(fā)射問題,但業(yè)已分析出了問題的解法和電路的連接方法。在老師和同學(xué)的幫助下,我們排查開始時(shí)出現(xiàn)的故障(原來是74LS00接地端導(dǎo)線接頭松動(dòng)),比較順利地完成了試驗(yàn)。

      下載開發(fā)工具M(jìn)ax+plus II的使用24譯碼器38譯碼器[范文大全]word格式文檔
      下載開發(fā)工具M(jìn)ax+plus II的使用24譯碼器38譯碼器[范文大全].doc
      將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
      點(diǎn)此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦