欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      實(shí)驗(yàn)2—占空比可調(diào)的PWM信號(hào)發(fā)生器

      時(shí)間:2019-05-13 04:02:22下載本文作者:會(huì)員上傳
      簡(jiǎn)介:寫寫幫文庫(kù)小編為你整理了多篇相關(guān)的《實(shí)驗(yàn)2—占空比可調(diào)的PWM信號(hào)發(fā)生器》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫寫幫文庫(kù)還可以找到更多《實(shí)驗(yàn)2—占空比可調(diào)的PWM信號(hào)發(fā)生器》。

      第一篇:實(shí)驗(yàn)2—占空比可調(diào)的PWM信號(hào)發(fā)生器

      實(shí)驗(yàn)2占空比可調(diào)的PWM信號(hào)發(fā)生器

      一、實(shí)驗(yàn)任務(wù)

      基本部分:

      (1)用51單片機(jī)設(shè)計(jì)一個(gè)周期固定且占空比可調(diào)的PWM信號(hào)發(fā)生器。

      (2)參數(shù)要求:

      a、信號(hào)周期為20ms,占空比范圍1%-100%可調(diào);

      b、用兩個(gè)按鍵分別調(diào)整增量或減量;增量級(jí)別分為±1%和±5%兩檔可調(diào),且要求可用按鍵選擇;

      c、要求用兩位LED數(shù)碼管實(shí)時(shí)顯示當(dāng)前的占空比;

      d、51單片機(jī)晶振頻率為12MHz。

      擴(kuò)展部分:

      (1)在基本部分設(shè)計(jì)的電路中,為輸出的PWM信號(hào)增加光耦隔離輸出電路;

      (2)為占空比調(diào)整過(guò)程增加超界聲光報(bào)警電路;

      (3)可否改為脈寬固定而周期可改變的PWM信號(hào)發(fā)生器(簡(jiǎn)略說(shuō)明,不要求設(shè)

      計(jì)編程)。

      二、實(shí)驗(yàn)要求

      1、在PROTEUS中畫出硬件設(shè)計(jì)圖(AT89C51、12MHz晶振震蕩電路、復(fù)位電路、按鍵調(diào)整電路、LED數(shù)碼管顯示電路、擴(kuò)展部分電路)。

      2、按任務(wù)要求用匯編或C編寫程序并編譯通過(guò)。

      3、在PROTEUS下仿真通過(guò)。

      三、報(bào)告要求

      1、任務(wù)分析、實(shí)現(xiàn)方案和程序流程圖;

      2、硬件電路圖;

      3、全部程序清單;

      4、打印出實(shí)驗(yàn)報(bào)告。

      第二篇:信號(hào)發(fā)生器設(shè)計(jì)(推薦)

      模擬課程設(shè)計(jì)題

      信號(hào)發(fā)生器設(shè)計(jì)

      設(shè)計(jì)一個(gè)能夠輸出正弦波、三角波和矩形波的信號(hào)源電路,電路形式自行選擇。輸出信號(hào)的頻率可通過(guò)開(kāi)關(guān)進(jìn)行設(shè)定,具體要求如下:

      (1)輸出信號(hào)的頻率范圍為100~800Hz,步進(jìn)為100Hz。(60分)

      (2)要求輸出信號(hào)無(wú)明顯失真,特別是正弦波信號(hào)。(30分)

      評(píng)分標(biāo)準(zhǔn):

      (1)范圍滿足設(shè)計(jì)要求得滿分,否則酌情扣分。

      (2)輸出信號(hào)無(wú)明顯失真可滿分,有明顯失真酌情扣分。

      發(fā)揮部分(附加10分):

      進(jìn)一步擴(kuò)大輸出信號(hào)范圍和減小步進(jìn)頻率。

      第三篇:VHDL實(shí)驗(yàn)四函數(shù)信號(hào)發(fā)生器設(shè)計(jì).

      VHDL實(shí)驗(yàn)四:函數(shù)信號(hào)發(fā)生器設(shè)計(jì)

      設(shè)計(jì)要求:設(shè)計(jì)一個(gè)函數(shù)信號(hào)發(fā)生器,能產(chǎn)生方波,三角波,正弦波,階梯波。設(shè)計(jì)概述:信號(hào)的輸出實(shí)質(zhì)上是指電壓幅度隨時(shí)間的變化。根據(jù)這個(gè)原理我們就可以設(shè)計(jì)函數(shù)信號(hào)發(fā)生器了。FPGA里面產(chǎn)生的數(shù)據(jù)只能是數(shù)字信號(hào),最終我們通過(guò)連接8bit的DA轉(zhuǎn)換器就能將數(shù)字信號(hào)轉(zhuǎn)換成電壓信號(hào),從而實(shí)現(xiàn)了信號(hào)發(fā)生器的功能。

      本設(shè)計(jì)有5個(gè)模塊組成,其中有:方波發(fā)生器,三角波發(fā)生器,正弦波發(fā)生器,階梯波發(fā)生器,4選1選擇器。下面是我設(shè)計(jì)的整個(gè)過(guò)程: 方波發(fā)生器:實(shí)質(zhì)上是一段時(shí)間輸出0,一段時(shí)間輸出255的數(shù)字信號(hào),當(dāng)然這有8位的通道輸出。

      程序設(shè)計(jì)如下:--工程名:方波發(fā)生器

      --功能:產(chǎn)生方波,是通過(guò)交替送出全0和全1實(shí)現(xiàn)的,每32個(gè)時(shí)鐘翻轉(zhuǎn)一次--時(shí)間:2010-12-17 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sqaure is port(clk,clr:in std_logic;

      q:out integer range 0 to 255;end entity;architecture behav of sqaure is signal a:bit;begin process(clk,clr--計(jì)數(shù)分頻 variable cnt:integer range 0 to 32;begin if(clr='0' then a<='0';elsif clk'event and clk='1' then if cnt<31 then--進(jìn)行32分頻 cnt:=cnt+1;else cnt:=0;a<=not a;end if;end if;

      end process;process(clk,a--信號(hào)輸出 begin if clk'event and clk='1' then if a='1' then q<=255;else q<=0;end if;end if;end process;end behav;三角波發(fā)生器:實(shí)質(zhì)上是先輸出直線遞增的數(shù)字信號(hào),隨后按照同樣的斜率輸出遞減的數(shù)字信號(hào)。這樣就能實(shí)現(xiàn)三角波的發(fā)生了。

      程序設(shè)計(jì)如下:--工程名:三角波信號(hào)發(fā)生器

      --功能:產(chǎn)生的三角波以64個(gè)時(shí)鐘為一個(gè)周期,輸出q每次加減8。--時(shí)間:2010-12-17 library ieee;

      use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity delta1 is port(clk:in std_logic;--時(shí)鐘信號(hào) rst:in std_logic;--復(fù)位信號(hào)

      q:out std_logic_vector(7 downto 0;--輸出信號(hào) end entity;architecture behav of delta1 is begin variable tmp:std_logic_vector(7 downto 0;variable a:std_logic;begin if(rst='0' then tmp:=“00000000”;elsif clk'event and clk='1' then if(a='0' then if(tmp=“11111000” then--tmp=248 tmp:=“11111111”;

      a:='1';--信號(hào)計(jì)數(shù)完成,下一次改成遞減 else tmp:=tmp+8;--遞增 end if;else if tmp=“00000111” then--tmp=7 tmp:=“00000000”;a:='0';--信號(hào)計(jì)數(shù)完成,下一次改成遞增 else tmp:=tmp-8;--遞減 end if;end if;end if;q<=tmp;--信號(hào)輸出 end process;end behav;正弦波發(fā)生器:這里我設(shè)計(jì)了64個(gè)狀態(tài),就是將一個(gè)周期的正弦波分成64分,在然后一份份的數(shù)字信號(hào)輸出就可以了。具體怎么取值,用excel計(jì)算就可以了。自己手動(dòng)計(jì)算也可以的哦。

      具體程序設(shè)計(jì)如下: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sin1 is port(clk,clr:in std_logic;d:out integer range 0 to 255;end entity;architecture behav of sin1 is begin variable tmp:integer range 0 to 63;begin if clr='0' then d<=0;elsif clk'event and clk='1' then if tmp=63 then tmp:=0;else

      tmp:=tmp+1;end if;case tmp is when 00=>d<=255;when 01=>d<=254;when 02=>d<=252;when 03=>d<=249;when 04=>d<=245;when 05=>d<=239;when 06=>d<=233;when 07=>d<=225;when 08=>d<=217;when 09=>d<=207;when 10=>d<=197;when 11=>d<=186;when 12=>d<=174;when 13=>d<=162;when 14=>d<=150;when 15=>d<=137;when 16=>d<=124;when 17=>d<=112;when 18=>d<=99;when 19=>d<=87;when 20=>d<=75;when 21=>d<=64;when 22=>d<=53;when 23=>d<=43;when 24=>d<=34;when 25=>d<=26;when 26=>d<=19;when 27=>d<=13;when 28=>d<=8;when 29=>d<=4;when 30=>d<=1;when 31=>d<=0;when 32=>d<=0;when 33=>d<=1;when 34=>d<=4;when 35=>d<=8;when 36=>d<=13;when 37=>d<=19;when 38=>d<=26;when 39=>d<=34;when 40=>d<=43;when 41=>d<=53;when 42=>d<=64;when 43=>d<=75;when 44=>d<=87;when 45=>d<=99;when 46=>d<=112;when 47=>d<=124;

      when 48=>d<=137;when 49=>d<=150;when 50=>d<=162;when 51=>d<=174;when 52=>d<=186;when 53=>d<=197;when 54=>d<=207;when 55=>d<=217;when 56=>d<=225;when 57=>d<=233;when 58=>d<=239;when 59=>d<=245;when 60=>d<=249;when 61=>d<=252;when 62=>d<=252;when 63=>d<=255;when others=>null;end case;end if;end process;end behav;階梯波發(fā)生器:實(shí)質(zhì)上是一個(gè)直線遞增的數(shù)字信號(hào)輸出而已,和三角波發(fā)生沒(méi)有什么差別。

      --工程名:階梯波信號(hào)發(fā)生器

      --功能:改變?cè)撃K遞增的常數(shù),可以改變階梯的個(gè)數(shù)--時(shí)間:2010-12-17 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;

      use ieee.std_logic_arith.all;entity ladder1 is port(clk:in std_logic;--時(shí)鐘信號(hào) rst:in std_logic;--復(fù)位信號(hào)

      q:out std_logic_vector(7 downto 0;--輸出信號(hào) end entity;architecture behav of ladder1 is begin process(clk,rst variable tmp:std_logic_vector(7 downto 0;variable a:std_logic;begin if(rst='0' then--復(fù)位 tmp:=“00000000”;elsif clk'event and clk='1' then if a='0' then if tmp=“11111111” then tmp:=“00000000”;a:='1';

      else tmp:=tmp+16;--以常數(shù)遞增 a:='1';end if;else a:='0';end if;end if;q<=tmp;--信號(hào)輸出 end process;end behav;4選1模塊

      最后我們要將模塊進(jìn)行整合,就需要設(shè)計(jì)一個(gè)選通模塊,進(jìn)行選擇。

      具體程序設(shè)計(jì)如下:--工程名:4 選 1 模塊選擇器--功能:選通模塊作用--時(shí)間:2010-12-17 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity select4_1 is port(sel:in std_logic_vector(1 downto 0;--選擇信號(hào) d0,d1,d2,d3:in std_logic_vector(7 downto 0;--4 個(gè)信號(hào)發(fā)生器通道 q:out std_logic_vector(7 downto 0;--輸出通道 end entity;architecture behav of select4_1 is begin process(sel begin case sel is--選擇 when“00”=> q<=d0;when“01”=> q<=d1;when“10”=> q<=d2;when“11”=> q<=d3;end case;end process;end behav;最后將所有模塊就連接起來(lái)進(jìn)行圖形化設(shè)計(jì); 進(jìn)行仿真。最后進(jìn)行硬件調(diào)試,通過(guò) DA 將 8

      位的數(shù)字信號(hào)轉(zhuǎn)化成電壓信號(hào)就能完成了整個(gè) 系統(tǒng)的設(shè)計(jì)了??偨Y(jié):通過(guò)設(shè)計(jì)這個(gè)簡(jiǎn)單的數(shù)字信號(hào)發(fā)生器,我徹底的了解了如何設(shè)計(jì)一個(gè)函數(shù) 發(fā)生器。一直以來(lái)都想設(shè)計(jì)這個(gè)一個(gè)東西,所以今天終于完成了我一直以來(lái)的心 愿了。但是這僅僅是開(kāi)始,要設(shè)計(jì)一個(gè)很好信號(hào)發(fā)生器,需要使用 DDS 的技術(shù),因此希望我以后更加再接再厲,慢慢穩(wěn)健的成長(zhǎng)起來(lái)。

      第四篇:北京郵電大學(xué)電路實(shí)驗(yàn)信號(hào)發(fā)生器

      北京郵電大學(xué)

      電子電路實(shí)驗(yàn)報(bào)告

      實(shí)驗(yàn)一:函數(shù)信號(hào)發(fā)生器的設(shè)計(jì)

      院 系:

      班 級(jí): 姓 名: 班內(nèi)序號(hào): 學(xué) 號(hào):

      2013年4月8日星期一

      課題名稱:

      函數(shù)信號(hào)發(fā)生器的設(shè)計(jì)

      摘要:

      本實(shí)驗(yàn)由兩個(gè)電路組成,方波—三角波發(fā)生電路和三角波—正弦波變換電路。方波—三角波發(fā)生電路采用運(yùn)放組成,由自激的單線比較器產(chǎn)生方波,通過(guò)積分電路產(chǎn)生三角波,在經(jīng)過(guò)差分電路可實(shí)現(xiàn)三角波—正弦波變換。該電路振蕩頻率和幅度用電位器調(diào)節(jié),輸出方波幅度的大小有穩(wěn)壓管的穩(wěn)壓值決定;而正弦波幅度和電路的對(duì)稱性也分別由兩個(gè)電位器調(diào)節(jié),以實(shí)現(xiàn)良好的正弦波輸出圖形。

      關(guān)鍵詞:

      方波、三角波、正弦波、頻率調(diào)節(jié)、占空比調(diào)節(jié)

      設(shè)計(jì)任務(wù)要求:

      基本要求:

      a)設(shè)計(jì)一個(gè)設(shè)計(jì)制作一個(gè)可輸出方波、三角波、正弦波信號(hào)的函數(shù)信號(hào)發(fā)生器。

      1,輸出頻率能在1—10KHz范圍內(nèi)連續(xù)可調(diào),無(wú)明顯失真;

      2,方波輸出電壓Uopp = 12V,上升、下降沿小于10us,占空比可調(diào)范圍30%—70%;

      3,三角波Uopp = 8V; 4,正弦波Uopp≥1V。

      b)用PROTEL軟件繪制完整的電路原理圖(SCH)設(shè)計(jì)思路:

      1,原理框圖:

      2,系統(tǒng)的組成框圖:

      分塊電路和總體電路的設(shè)計(jì):

      函數(shù)發(fā)生器是指能自動(dòng)產(chǎn)生方波、三角波和正弦波的電壓波形的電路或者儀器。電路形式可以采用由運(yùn)放及分離元件構(gòu)成;也可以采用單片集成函數(shù)發(fā)生器。根據(jù)用途不同,有產(chǎn)生三種或多種波形的函數(shù)發(fā)生器,本課題采用由集成運(yùn)算放大器與晶體差分管放大器共同組成的方波—三角波、三角波—正弦波函數(shù)發(fā)生器的方法。

      本課題中函數(shù)信號(hào)發(fā)生器電路組成如下:

      第一個(gè)電路是由比較器和積分器組成方波—三角波產(chǎn)生電路。單限比較器輸出的方波經(jīng)積分器得到三角波;第二個(gè)電路是由差分放大器組成的三角波—正弦波變換電路。

      差分放大器的特點(diǎn): 工作點(diǎn)穩(wěn)定,輸入阻抗高,抗干擾能力較強(qiáng)等。特別是作為直流放大器時(shí),可以有效地抑制零點(diǎn)漂移,因此可將頻率很低的三角波變換成正弦波波形變換的原理是利用差分放大器的傳輸特性曲線的非線性。傳輸特性曲線越對(duì)稱,線性區(qū)域越窄越好;三角波的幅度Uim應(yīng)正好使晶體接近飽和區(qū)域或者截至區(qū)域。1.方波——三角波電路設(shè)計(jì)

      方波輸出幅度由穩(wěn)壓管的穩(wěn)壓值決定,及限制在±(UZ+UD)之

      間?;疽笾蟹讲ǖ姆宸逯禐?12V,故選用穩(wěn)壓值為 6V 的穩(wěn)壓管。方波經(jīng)積分得到三角波,幅度為 Uo2m=±R1/Rf(UZ+UD),由 R1 和 Rf 的比值及穩(wěn)壓管的穩(wěn)壓值決定,基本要求中三角波的峰峰值為 8V,這 R1 與 Rf 的比值為 2:3。我選用的 R1 為 20k,Rf 為 33k。R3 為平衡電阻,根據(jù)計(jì)算應(yīng)選擇 12K 的電阻。方波和三角波的振蕩頻率相同,為f=1/T=āRf/4R1R2C,式中α為電位器,Rw的滑動(dòng)比(即滑動(dòng)頭對(duì)地電阻與電位器總電阻之比)。即調(diào)節(jié)Rw可改變振蕩頻率。根據(jù)所需振蕩頻率的高低和對(duì)方波前后陡度得要求,選擇電壓轉(zhuǎn)換速率SR合適的運(yùn)放。在產(chǎn)生方波的時(shí)候選用轉(zhuǎn)換速率較快的運(yùn)放LM318,產(chǎn)生三角波的時(shí)候選用運(yùn)放LM741。根據(jù)計(jì)算可設(shè)定R2=5K,C=0.01uF。R4為直流平衡電阻,其作用是減小或消除靜態(tài)時(shí)可能在運(yùn)放輸出端產(chǎn)生的附加差模輸入電壓,應(yīng)與R2的阻值一樣,為5K。根據(jù)所需要輸出方波的幅度選擇合適的穩(wěn)壓管和限流電阻Ro的大小。選擇限流電阻Ro為2K。為使α的變化范圍較大,信號(hào)的頻率范圍達(dá)到要求,1—10K范圍可調(diào),電位器Rw選擇為10K范圍內(nèi)可調(diào)。

      2.三角波——正弦波電路設(shè)計(jì)

      圖中RP1調(diào)節(jié)三角波的幅度,RP2調(diào)整電路的對(duì)稱性,并聯(lián)電阻RE用來(lái) 減小差分放大器傳輸特性曲線的線性區(qū)。電容C1,C2,C3為隔直流電容,用單向的大電容不但很好的濾除直流分量,還能避免雙向耦合,使輸出地波形清晰穩(wěn)定。C4為濾波電容,以濾除高頻信號(hào)干擾,改善輸出正弦波的波形,減少不確定的信號(hào)干擾。

      電解電容C1、C2、C3為隔直流電容,為達(dá)到 良好的隔直流、通交流的目的,其容值應(yīng)該取的相對(duì)較大,故取 C1=10uF C2=0.01uF C3=2200pf。Rp1調(diào)節(jié)三角波的幅度,為滿足實(shí)驗(yàn)要求,其可調(diào) 范圍應(yīng)該比較大,故取Rp1=100kΩ。Rb1與Rb2為平衡電阻,取值為Rb1=7.5KΩ。流進(jìn)T1,T2集電極電流約為0.5mA,為滿足其正弦波的幅 度大于1mA,取Rc1= Rc2=6.2kΩ,使得電流流經(jīng)Rc2的電壓降不至于很大。C4為濾波電容,其值應(yīng)該滿足要求的正弦電壓幅度與頻率,其值 不能取太大,否則會(huì)是幅度太小無(wú)法達(dá)到要求,故取C4=0.1uF。至此,電路的設(shè)計(jì)基本完成,需要在實(shí)驗(yàn)中進(jìn)一步調(diào)試電路。

      差動(dòng)放大器具有很大的共模抑制比,被廣泛應(yīng)用于集成電路中,常作為輸入級(jí)或中間級(jí)。

      差動(dòng)放大器的設(shè)計(jì):

      1,確定靜態(tài)工作點(diǎn)電流Ic1、Ic2、Ic3 靜態(tài)時(shí),差動(dòng)放大器不加入輸入信號(hào),對(duì)于電流鏡Re3=Re4=Re Ir=Ic4+Ib3+Ib4=Ic4+2Ib4= Ic4+2 Ic4/β≈Ic4= Ic3 而 Ir= Ic4= Ic3=(Ucc+Uee-Ube)/(R+Re4)上式表明恒定電流Ic3主要由電源電壓Ucc、Uee和電阻R、Re4決定,與 晶體管的參數(shù)無(wú)關(guān)。由于差動(dòng)放大器得靜態(tài)工作點(diǎn)主要由恒流源決 定,故一般先設(shè)定Ic3。Ic3取值越小,恒流源越恒定,漂移越小,放大 器的輸入阻抗越高。因此在實(shí)驗(yàn)中,取Ic3為1mA。有Ic1= Ic3=1/2 Ic3=0.5mA。由R+Re=(Ucc+Uee-Ube)/Ir,其中Ucc為12V,Uee也為12v,Ube的典型值為0.7V(在本次取值中可以忽略)Ir為1mA,故取R=18KΩ,Re4=3.3KΩ。由于鏡像電流源要求電阻對(duì)稱,故取Re3=3.3KΩ。2,差模特性

      差動(dòng)放大器的輸入和輸出各含有單端和雙端輸入兩種方式,因此,差 動(dòng)放大器的輸入輸出共有四種不同的連接方式。不同的連接方式,電路的特性不同。Rp 的取值不能太大,否則反饋太強(qiáng),一般取 100Ω左 右的電位器,用來(lái)調(diào)整差動(dòng)放大器的對(duì)稱性。3,三角波—正弦波變換電路

      三角—正弦波變換電路

      三角波—正弦波變換電路的種類很多,有二極管橋是電路,二極管可變分壓器電路和差分放大器等。利用差分放大器傳輸特性曲線的非線性,實(shí)現(xiàn)三角波—正弦波的變換。

      總電路圖:

      電路的安裝與調(diào)試:

      一,三角波---正弦波轉(zhuǎn)換電路的安裝與調(diào)試: 安裝三角波——正弦波變換電路

      1.在面包板上接入差分放大電路,注意三極管的各管腳的接線; 2.搭生成直流源電路;

      3.接入各電容及電位器;

      4.按圖接線,注意直流源的正負(fù)及接地端。調(diào)試三角波——正弦波變換電路 1.接入直流源后,把 C4 接地,利用萬(wàn)用表測(cè)試差分放大電路的靜態(tài) 工作點(diǎn); 2.測(cè)試 C,D 兩端電壓,當(dāng)不相等時(shí)調(diào)節(jié) RP 使其相等;

      3.在 C5 端接入示波器觀察,逐漸增大輸入電壓,當(dāng)輸出波形剛好不失真時(shí)記入其最大不失真電壓;

      二,方波—三角波發(fā)生電路的安裝與調(diào)試:

      安裝方波—三角波產(chǎn)生電路

      1.把 2 塊集成運(yùn)放插入面包板,注意布局;

      2.分別把各電阻放入適當(dāng)位置,尤其注意電位器的接法; 3.按圖接線,注意直流源的正負(fù)及接地端。調(diào)試方波—三角波產(chǎn)生電路

      1.接入電源后,用示波器進(jìn)行雙蹤觀察; 2.調(diào)節(jié) RP,微調(diào)波形的頻率;

      3.觀察示波器,各指標(biāo)達(dá)到要求后進(jìn)行下一部安裝。三,總電路的安裝與調(diào)試:

      1.把兩部分的電路接好,即把三角波的輸出與差動(dòng)放大器的輸入相連接,進(jìn)行整體測(cè)試、觀察

      2.針對(duì)各階段出現(xiàn)的問(wèn)題,逐各排查校驗(yàn),使其滿足實(shí)驗(yàn)要求,即 使方波的峰峰值為12伏,三角波為8伏,使正弦波的峰峰值大于 1V。

      所實(shí)現(xiàn)功能說(shuō)明

      功能實(shí)現(xiàn)及必要數(shù)據(jù):

      通過(guò)萬(wàn)用表,毫伏表,以及示波器測(cè)量: 如圖:

      當(dāng)頻率處在10K左右時(shí),輸出方波峰峰值為12.1V,三角波峰峰值為8.0V。滿足基本要求。

      當(dāng)頻率處在1K左右時(shí),方波的峰峰值達(dá)到了12.4V,三角波的峰峰值在7.8V左右,存在一定的誤差,其主要原因可能與Rf=33K有關(guān)(實(shí)在找不到30K的電阻,只好拿33K)。

      輸出的三角波峰峰值為6.4V,滿足大于1V的基本要求

      在實(shí)驗(yàn)中,除了峰峰值有些誤差外方波和三角波波形較好,正弦波波形較粗。但總體上還是比較美觀的。必要的測(cè)試方法:

      1,調(diào)節(jié)Rp調(diào)節(jié)電路的對(duì)稱性。

      用萬(wàn)用表進(jìn)行調(diào)零監(jiān)測(cè)

      2,電路A.B兩輸入端接地,將萬(wàn)用表直流電壓檔接在C.D端之間,調(diào) 節(jié)Rp,是萬(wàn)用表指示為0。注意萬(wàn)用表先用大量程檔,逐漸減小量程,直到最小量程檔指示為0。3,用示波器進(jìn)行調(diào)零監(jiān)測(cè)

      電路A.B兩輸入端接地,雙蹤示波器輸入耦合方式設(shè)為DC,示波器 第一路接電路輸出端C端與地之間,第二路接電路另一個(gè)輸出端D端與 地之間,將第二路反向按鈕摁下,再選擇ADD檔,則可用示波器觀察 C.D端之間的電壓,調(diào)節(jié)Rp,使示波器顯示的C.D端之間的電壓為0。4,通過(guò)調(diào)節(jié)恒流源改變電路的靜態(tài)工作電流

      差動(dòng)電路的 T1 與 T2 的靜態(tài)工作電流由恒流源偏置電路決定,可改變恒流源偏置電路中的電阻 R 來(lái)改變各個(gè)晶體管的靜態(tài)工作電流。

      差動(dòng)電路的靜態(tài)調(diào)零完成后,可以測(cè)各個(gè)晶體管的靜態(tài)工作電流。故障及問(wèn)題分析

      測(cè)試前的電路檢驗(yàn):

      ? ? ? 電路是否正確,對(duì)照實(shí)驗(yàn)原理圖仔細(xì)檢查。測(cè)量?jī)x器是否有問(wèn)題,儀器顯示是否正確。電源供電(包括極性)、信號(hào)源連線是否正確檢查直流極性是否正確,信號(hào)線是否連接正確。并且用電壓表測(cè)試保證直流電源輸出符合要求。

      檢查元器件引腳之間有無(wú)短路,連接處有無(wú)接觸不良,二極管、集成電路和電解電容極性等是否連接有誤。?

      測(cè)試出現(xiàn)的故障:

      ? ? ? ? ? 設(shè)計(jì)出占空比是基本要求。由于調(diào)試時(shí)候總是出錯(cuò),最后不得不放棄了占空比的設(shè)計(jì),留有遺憾。電路用到的電阻,導(dǎo)線,比較多,連接起來(lái)比較復(fù)雜,所以每次在測(cè)試之前,都要檢查好電路的正確性。預(yù)防損壞元器件。

      由于電阻的接線比較長(zhǎng),完全插入后可能錯(cuò)綜在一起,造成短路,此時(shí)就應(yīng)利用萬(wàn)用表,挨個(gè)檢查,更換面包板,插線時(shí)不宜過(guò)深。在前期試驗(yàn)中,由于連錯(cuò)了直流電壓的輸出,導(dǎo)致燒壞了一個(gè)UA741的運(yùn)放。之后及時(shí)改正了錯(cuò)誤,再也沒(méi)有出現(xiàn)燒電路的的情況。

      在三角波—正弦波轉(zhuǎn)換電路中,即使在調(diào)節(jié)了電路平衡之后,輸出波形也會(huì)存在一個(gè)偏斜。這時(shí)就需要調(diào)節(jié)RP1使波形變得正常。這個(gè)過(guò)程就需要調(diào)一會(huì)才會(huì)變化,所以需要有耐心。

      在調(diào)試過(guò)程中,正弦波出現(xiàn)了以下失真,產(chǎn)生失真的原因及采 取的措施如下: 1)鐘形失真,傳輸特性曲線的線性區(qū)太寬,應(yīng)減小 Re。從而減 小了線性區(qū)的放大效應(yīng)。2)非線性失真,三角波傳輸特性區(qū)線性度差引起的失真,主 要是受到運(yùn)放的影響??稍谳敵龆思訛V波網(wǎng)絡(luò)改善輸出波形。本次試 驗(yàn)中可以通過(guò)增加 C4 的大小來(lái)減小波形的非線性失真。3)截止失真或飽和失真。這可是由于電路設(shè)計(jì)時(shí)工作點(diǎn)選的不好。也可能是因?yàn)?,在?shí)際連電路時(shí)選取了與設(shè)計(jì)時(shí)的不同值近似。導(dǎo)致工作點(diǎn)的錯(cuò)誤。檢查電路修改數(shù)據(jù)是解決的方法。

      布線以及排版問(wèn)題 對(duì)于可以輸出穩(wěn)定波形的電路,需要簡(jiǎn)化電路,讓電路看起來(lái)更美觀,更簡(jiǎn)潔,更清楚,這樣有利于檢查錯(cuò)誤和更改。?

      ? ? 實(shí)驗(yàn)總結(jié)及結(jié)論:

      又一次走進(jìn)了熟悉的實(shí)驗(yàn)室,上一次走進(jìn)主樓的實(shí)驗(yàn)室,已經(jīng)是上個(gè)學(xué)期的事情了。上個(gè)學(xué)期的模電實(shí)驗(yàn)對(duì)我來(lái)說(shuō),是一個(gè)全新的體驗(yàn)。而這一次的電路創(chuàng)新性實(shí)驗(yàn)更是一個(gè)全新的挑戰(zhàn)。

      與上學(xué)期照著課本搭電路不同,這次的試驗(yàn),全要靠我們自己去設(shè)計(jì)。雖然書上有一部分的電路圖,但是者還不足以幫助我們完成所有的設(shè)計(jì)要求。尤其是各個(gè)元器件的參數(shù),更是讓我痛疼不已。剛開(kāi)始,我們只算出了R1,Rf的取值以及各個(gè)電位器的選擇。所以在第一次的實(shí)驗(yàn)中,我們一無(wú)所獲,甚至連方波都沒(méi)有出來(lái)。后來(lái)我們自己計(jì)算,以及上網(wǎng)尋找資料,在第二次的實(shí)驗(yàn)中,成功的做出了方波,但是幅度卻一直不對(duì)。正當(dāng)我百思不得其解的時(shí)候,我選擇了詢問(wèn)老師,在老師的幫助下,我才知道原來(lái)一直困擾自己的幅度問(wèn)題是因?yàn)榉€(wěn)壓二極管的管腳沒(méi)有選對(duì)。之后再搭建三角波的電路的時(shí)候,我們就有了一定的經(jīng)驗(yàn),很順利的做出了符合要求的三角波。真正的難題來(lái)了,那就是正弦波,我們的正弦波一直出不來(lái),不是有人的正弦波失真,就是我的正弦波只有一半的正弦。開(kāi)始我以為是過(guò)濾電容,沒(méi)有找到合適的,所以我就在不停地更換電容,但是一直沒(méi)有成果。在咨詢了老師之后,我知道是自己的輸入幅度太大,導(dǎo)致了正弦波不完整。很快我就意識(shí)到自己該調(diào)整100K電位器了,在調(diào)整了幾次之后,我終于做出了完美的正弦波。

      在完成了三個(gè)波形的輸出之后,我開(kāi)始了調(diào)整細(xì)節(jié)的工作,調(diào)節(jié)頻率是首當(dāng)其沖的。頻率雖然可以高達(dá)20K但是低頻卻達(dá)不到1K,只能停留在2K左右,由于在后期對(duì)電路十分的熟悉,所以很快的就解決了這個(gè)問(wèn)題。

      一直很難過(guò),沒(méi)有能夠答辯,因?yàn)樽约焊杏X(jué)我已經(jīng)對(duì)函數(shù)信號(hào)發(fā)生器的電路十分了解了。

      Pcb原理圖:

      所用儀器及元器件: 儀器:直流穩(wěn)壓電源,示波器,萬(wàn)用表 元器件:電位器、電阻、電容 相關(guān)元件參數(shù):

      LM318 芯片:

      輸入失調(diào)電壓 4mV; 增益帶寬積:15MHz 耗電流:5mA 偏置電流:150nA 轉(zhuǎn)換速率:70V/uS 電源:+/-20V

      LM741 芯片:

      LM741: 輸入失調(diào)電壓 0.8mV; 增益帶寬積:1.5MHz 耗電流:1.7mA 偏置電流:30nA 轉(zhuǎn)換速率:0.7V/uS 電源:+/-3V---+/-22V

      三極管: 8050

      參考文獻(xiàn):

      《Protel DXP 基礎(chǔ)與應(yīng)用教程》 高明制作

      《電子電路綜合設(shè)計(jì)實(shí)驗(yàn)教程》 北郵出版社

      《電子電路基礎(chǔ)》 林家儒主編 北郵出版社

      第五篇:實(shí)驗(yàn)五正弦信號(hào)發(fā)生器設(shè)計(jì)

      實(shí)驗(yàn)五正弦信號(hào)發(fā)生器設(shè)計(jì)

      一、實(shí)驗(yàn)?zāi)康?.熟悉利用QuartusII及其LPM_ROM與FPGA硬件資源的使用方法;

      2.掌握LPM模塊的重要功能;

      3.熟悉MegaWizard Plug-In Manager的使用方法。

      二、實(shí)驗(yàn)設(shè)備

      計(jì)算機(jī),QuartusII 6.0 版軟件,JTAG下載線,EDA實(shí)驗(yàn)掛箱(EP1C6Q240C8)。

      三、實(shí)驗(yàn)原理

      設(shè)計(jì)一8位寬、1024點(diǎn)的正弦信號(hào)發(fā)生器。

      正弦信號(hào)發(fā)生器的結(jié)構(gòu)由四個(gè)部分組成:

      1.計(jì)數(shù)器或地址發(fā)生器(10位地址線);

      2.正弦信號(hào)數(shù)據(jù)ROM(存放正弦波的采樣數(shù)據(jù),采樣頻率20MHz:8位數(shù)據(jù)線、10位地址線);

      3.VHDL頂層設(shè)計(jì);

      4.D/A轉(zhuǎn)換器(8位)。

      四、實(shí)驗(yàn)步驟和內(nèi)容

      1.在QuartusII上利用MegaWizard Plug-In Manager功能,調(diào)用LPM_ROM函數(shù)定制8位寬、1024點(diǎn)ROM,并進(jìn)行初始化。然后對(duì)設(shè)計(jì)實(shí)體進(jìn)行編輯、編譯、綜合、適配、仿真。

      2.利用QuartusII文本編輯器設(shè)計(jì)10位二進(jìn)制計(jì)數(shù)器,做為地址發(fā)生器,對(duì)設(shè)計(jì)實(shí)體進(jìn)行編輯、編譯、綜合、適配、仿真。

      3.利用層次化設(shè)計(jì)方法設(shè)計(jì)一8位寬、1024點(diǎn)的正弦信號(hào)發(fā)生器。

      4.D/A轉(zhuǎn)換器采用試驗(yàn)箱配備的DAC0832。

      5.引腳鎖定和硬件下載測(cè)試。引腳鎖定后進(jìn)行編譯、下載和硬件測(cè)試實(shí)驗(yàn)。將實(shí)驗(yàn)過(guò)程和實(shí)驗(yàn)結(jié)果寫進(jìn)實(shí)驗(yàn)報(bào)告。

      6.使用SignalTap II對(duì)設(shè)計(jì)的正弦信號(hào)發(fā)生器進(jìn)行實(shí)測(cè)。采樣時(shí)鐘使用系統(tǒng)時(shí)鐘20MHz。

      7.使用在系統(tǒng)存儲(chǔ)器數(shù)據(jù)讀寫編輯器對(duì)設(shè)計(jì)的正弦信號(hào)發(fā)生器進(jìn)行實(shí)測(cè),觀測(cè)結(jié)果;

      8.實(shí)驗(yàn)報(bào)告。將實(shí)驗(yàn)原理、設(shè)計(jì)過(guò)程、編譯仿真波形和分析結(jié)果、硬件測(cè)試實(shí)驗(yàn)結(jié)果寫進(jìn)實(shí)驗(yàn)報(bào)告。

      五、思考題

      如何實(shí)現(xiàn)對(duì)輸出正弦信號(hào)的頻率和相位可調(diào)?

      下載實(shí)驗(yàn)2—占空比可調(diào)的PWM信號(hào)發(fā)生器word格式文檔
      下載實(shí)驗(yàn)2—占空比可調(diào)的PWM信號(hào)發(fā)生器.doc
      將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
      點(diǎn)此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦

        函數(shù)信號(hào)發(fā)生器論文

        函數(shù)信號(hào)發(fā)生器的設(shè)計(jì)與制作 系別:電子工程系 專業(yè):應(yīng)用電子技術(shù) 屆:XX屆 姓名:XXX 摘 要 本系統(tǒng)以ICL8038集成塊為核心器件,制作一種函數(shù)信號(hào)發(fā)生器,制作成本較低。適合學(xué)生學(xué)......

        函數(shù)信號(hào)發(fā)生器設(shè)計(jì)任務(wù)書

        目錄 一、設(shè)計(jì)的任務(wù)和要求............................................................................ 二、已知條件...................................................

        函數(shù)信號(hào)發(fā)生器課程設(shè)計(jì)

        一 緒論 1.1 函數(shù)信號(hào)發(fā)生器的應(yīng)用意義 函數(shù)發(fā)生器一般是指能自動(dòng)產(chǎn)生正弦波、三角波、方波及鋸齒波、階梯波等電壓波形的電路或儀器。根據(jù)用途不同,有產(chǎn)生三種或多種波形的......

        簡(jiǎn)易信號(hào)發(fā)生器設(shè)計(jì)

        《單片機(jī)原理及應(yīng)用》課程設(shè)計(jì)任務(wù)書 課程設(shè)計(jì)題目:簡(jiǎn)易信號(hào)發(fā)生器設(shè)計(jì) 一、設(shè)計(jì)目的和要求 (一)、設(shè)計(jì)目的 通過(guò)設(shè)計(jì)簡(jiǎn)易信號(hào)發(fā)生器,完成系統(tǒng)設(shè)計(jì)、編碼、調(diào)試及維護(hù)工作的實(shí)踐......

        DSP-任意信號(hào)發(fā)生器

        任意信號(hào)發(fā)生器 實(shí)驗(yàn)報(bào)告 姓 名: 學(xué)院(系): 專業(yè): 組員: 指導(dǎo)老師: 學(xué) 號(hào): 電子工程與光電技術(shù)學(xué)院 通信工程 一、實(shí)驗(yàn)?zāi)康?1.熟悉 DSP 硬件開(kāi)發(fā)平臺(tái); 2.熟悉 DSP 集成開(kāi)發(fā)......

        函數(shù)信號(hào)發(fā)生器設(shè)計(jì)

        函數(shù)信號(hào)發(fā)生器設(shè)計(jì)設(shè)計(jì)任務(wù)與要求 ⑴ 設(shè)計(jì)并制作能產(chǎn)生正弦波、矩形波(方波)和三角波(鋸齒波)的函數(shù)發(fā)生器,本信號(hào)發(fā)生器可以考慮用專用集成芯片(如5G8038等)為核心實(shí)現(xiàn)。⑵ 信號(hào)......

        低頻信號(hào)發(fā)生器2

        低頻信號(hào)發(fā)生器 目錄 產(chǎn)品簡(jiǎn)介:低頻信號(hào)發(fā)生器采用單片機(jī)波形合成發(fā)生器產(chǎn)生高精度,低失真的正弦波電壓,可用于校驗(yàn)頻率繼電器,同步繼電器等,也可作為低頻變頻電源使用。以單片機(jī)......

        DSP音頻信號(hào)發(fā)生器

        大學(xué)課程設(shè)計(jì)報(bào)告 音頻信號(hào)發(fā)生器 設(shè) 計(jì) 人: 專業(yè): 班級(jí): 學(xué)號(hào): 指導(dǎo)教師: 二零一四年 付路 電子信息工程 電子111501 201115020104 寧愛(ài)平目錄 一. 引言-------------第2頁(yè) 二.......