第一篇:數(shù)電實(shí)驗(yàn)報(bào)告
-數(shù)電實(shí)驗(yàn)報(bào)告
作者: 日期:
二、實(shí)驗(yàn)儀器及材料 1、實(shí)驗(yàn)儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱器件 74LS00 二輸入端四與非門(mén) 3 片 74LS86 二輸入端四異或門(mén) 1 片 74LS54 四組輸入與或非門(mén) 1 片
[、預(yù)習(xí)要求 1.預(yù)習(xí)組合邏輯電路的分析方法。
2.預(yù)習(xí)用與非門(mén)和異或門(mén)構(gòu)成的半加器、全加器的工作原理。
3.預(yù)習(xí)二進(jìn)制數(shù)的運(yùn)算。
四、實(shí)驗(yàn)內(nèi)容及步驟 1.組合邏輯電路功能測(cè)試 2.用異或門(mén)(74LS86)和與非門(mén)組成的半加器電路 根據(jù)半加器的邏輯表達(dá)式可知,半加器 Y 是 A、B 的異或,而進(jìn)位 Z 是 A、B 相與,即半加器可用一個(gè) 異或門(mén)和二個(gè)與非門(mén)組成一個(gè)電路。如圖 2.2
姓名
學(xué)號(hào)
實(shí)驗(yàn)組
實(shí)驗(yàn)時(shí)間 2015 年 4 月 22 日 實(shí)驗(yàn)項(xiàng)目名稱 組合邏輯電路 1(半加器、全加器)
學(xué)院:大數(shù)據(jù)與信息工程學(xué)院 專業(yè):電子信息科學(xué)與技術(shù) 班級(jí): 一、實(shí)驗(yàn)?zāi)康?1.掌握組合邏輯電路的功能測(cè)試。
2.驗(yàn)證半加器和全加器的邏輯功能。
3.學(xué)會(huì)二進(jìn)制數(shù)的運(yùn)算規(guī)律。
3
vcc IA 匚 1 14 口 VCC IB 匚 2 13
1BC 2 13 □ 4R t¥ 匚 3 g 12 J 4A 1YO 3
? 12 □ 4A 2A 匚 4^11 J4Y “匚 4 ?J4Y 2B 匚 5 10 J 3R 組匚 5 10 L)2Y 匚 6 9 J3A 2Y ti 6 9 □ 3A <>ND 匚7 8 3 3Y GND 匚 7 8 □ 3Y
B
O
圖(1)
在數(shù)字電路實(shí)驗(yàn)箱上插入異或門(mén)和與非門(mén)芯片。輸入端 A、B 接邏輯開(kāi)關(guān) k , Y, Z 接發(fā)光管電平顯示。
(2)
按表 2.2 要求改變 A、B 狀態(tài),填表并寫(xiě)出 y、z 邏輯表達(dá)式。
輸入端 A 0 1 0 1 B 0 0 1 1 輸出端 Y 0 1 1 0 Z 0 0 0 1 Y=A B Z=A*B
3.全加器組合電路的邏輯功能測(cè)試 4.用異或門(mén)、與或非門(mén)、與非門(mén)組成的全加器電路的邏輯功能測(cè)試 全加器電路可以用兩個(gè)半加器和兩個(gè)與門(mén)一個(gè)或門(mén)組成。在實(shí)驗(yàn)中,常用一片雙異或門(mén)、一片與或非 門(mén)和一片與非門(mén)來(lái)實(shí)現(xiàn)。
(1)畫(huà)出用異或門(mén)、與或非門(mén)和非門(mén)實(shí)現(xiàn)全加器的邏輯電路圖,寫(xiě)出邏輯表達(dá)式。
(2)找出異或門(mén)、與或非門(mén)和與非門(mén)器件按自己設(shè)計(jì)畫(huà)出的電路圖接線,注意:接線時(shí)與或非門(mén)中不 用的與門(mén)輸入端應(yīng)該接地。
(3)當(dāng)輸入端 A1 B1 C1-1 為下列情況時(shí),測(cè)量 S1 和 C1 的邏輯狀態(tài)并填入表 2.5。
A i B C 1-1 C 1 Si 0 0 0 0 0 0 1 0 0 1 1 0 0 0 1 1 1 0 1 0 0 0 1 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 表 2.5
輸入端 A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C-1 0 1 0 1 0 1 0 1 輸出端 S 0 0 1 1 1 1 0 0 C 0 0 0 1 0 1 1 1
實(shí)驗(yàn)總結(jié)(回答實(shí) 驗(yàn)最后的 問(wèn)題)
1.總結(jié)全加器卡諾圖的分析方法 ; 根據(jù)全加器的真值表畫(huà)出全加器卡諾圖,根據(jù)卡諾圖化簡(jiǎn)邏輯表達(dá)式。
2? 試驗(yàn)中出現(xiàn)的問(wèn)題和解決的辦法:
試驗(yàn)中缺少與門(mén),和其他同學(xué)討論才明白,74LS54 的內(nèi)部結(jié)構(gòu)里有與門(mén)。
指導(dǎo)教師
意見(jiàn)
簽名:
年 月 日
第二篇:數(shù)電實(shí)驗(yàn)報(bào)告
實(shí)驗(yàn)報(bào)告書(shū)寫(xiě)格式
一、驗(yàn)證性實(shí)驗(yàn)報(bào)告:
學(xué)院: 計(jì)算機(jī)科學(xué)與信息學(xué)院
專業(yè)班級(jí):
計(jì)算機(jī)科學(xué)與技術(shù)081
課程名稱:數(shù)字電子技術(shù)
姓名
同組人姓名
第 組
日期
[實(shí)驗(yàn)項(xiàng)目] [實(shí)驗(yàn)?zāi)康腯 [實(shí)驗(yàn)儀器設(shè)備]—最好把儀器的型號(hào)也寫(xiě)上
[實(shí)驗(yàn)原理]—不要照搬教材,應(yīng)按自己的理解用簡(jiǎn)練的語(yǔ)言來(lái)概括;還要畫(huà)原理圖,要求作圖要規(guī)范;還要寫(xiě)出相關(guān)公式。[實(shí)驗(yàn)內(nèi)容]—指實(shí)驗(yàn)步驟和操作方法
[實(shí)驗(yàn)數(shù)據(jù)記錄及處理] —通常是列表格來(lái)記錄數(shù)據(jù);或是記錄波形,畫(huà)波形要規(guī)范;或是觀測(cè)現(xiàn)象等。[實(shí)驗(yàn)注意事項(xiàng)] [回答思考題] [心得體會(huì)] 心得體會(huì)是多方面的,亦是機(jī)動(dòng)的、靈活的。在做實(shí)驗(yàn)的過(guò)程中比如故障排除的體會(huì),實(shí)驗(yàn)改進(jìn)的意見(jiàn),以及其它實(shí)驗(yàn)的嘗試等(選作部分),個(gè)人應(yīng)有個(gè)人的意見(jiàn)體會(huì)。
在實(shí)驗(yàn)報(bào)告中發(fā)現(xiàn)這個(gè)部分完全一致的,立刻作廢。
二、綜合性和設(shè)計(jì)性實(shí)驗(yàn)報(bào)告
學(xué)院: 計(jì)算機(jī)科學(xué)與信息學(xué)院
專業(yè)班級(jí):
計(jì)算機(jī)科學(xué)與技術(shù)081
課程名稱:數(shù)字電子技術(shù)
姓名
同組人姓名
第 組
日期
[實(shí)驗(yàn)項(xiàng)目] [實(shí)驗(yàn)?zāi)康腯 [實(shí)驗(yàn)儀器設(shè)備] [實(shí)驗(yàn)原理]* [設(shè)計(jì)內(nèi)容]([實(shí)驗(yàn)內(nèi)容])
[設(shè)計(jì)過(guò)程]—包括邏輯抽象,畫(huà)真值表(或卡諾圖),寫(xiě)出邏輯表達(dá)式,選用器件,畫(huà)出電路圖(或?qū)嵨锝泳€圖)。
[實(shí)驗(yàn)記錄](méi) —在實(shí)驗(yàn)箱上接好設(shè)計(jì)的線路,進(jìn)行測(cè)試,記錄測(cè)試結(jié)果。[實(shí)驗(yàn)結(jié)論]—總結(jié)通過(guò)實(shí)驗(yàn)得到什么樣的結(jié)論。[實(shí)驗(yàn)注意事項(xiàng)] [回答思考題] [心得體會(huì)]
第三篇:北郵數(shù)電上實(shí)驗(yàn)報(bào)告匯總
北京郵電大學(xué)電子工程學(xué)院
北京郵電大學(xué)
數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)
學(xué)院:
班級(jí):
姓名:
學(xué)號(hào):
班內(nèi)序號(hào): 北京郵電大學(xué)電子工程學(xué)院
實(shí)驗(yàn)一
Quartus II原理圖輸入法設(shè)計(jì)
一、實(shí)驗(yàn)?zāi)康模?/p>
(1)熟悉Quartus II原理圖輸入法進(jìn)行電路設(shè)計(jì)和仿真。(2)掌握Quartus II 圖形模塊單元的生成與調(diào)(3)熟悉實(shí)驗(yàn)板的使用
二、實(shí)驗(yàn)所用器材:(1)計(jì)算機(jī)(2)直流穩(wěn)壓電源
(3)數(shù)字系統(tǒng)與邏輯設(shè)計(jì)實(shí)驗(yàn)開(kāi)發(fā)板
三、實(shí)驗(yàn)任務(wù)要求
(1)用邏輯門(mén)設(shè)計(jì)實(shí)現(xiàn)一個(gè)半加器,仿真驗(yàn)證其功能,并生成新的半加器圖形模塊單元。
(2)用(1)中生成的半加器模塊和邏輯門(mén)設(shè)計(jì)實(shí)現(xiàn)一個(gè)全加器,仿真驗(yàn)證其功能,并下載到實(shí)驗(yàn)板測(cè)試,要求用撥碼開(kāi)關(guān)設(shè)定輸入信號(hào),發(fā)光二極管顯示輸出信號(hào)。(3)用VHDL語(yǔ)言實(shí)現(xiàn)全加器。
四、實(shí)驗(yàn)原理圖和實(shí)驗(yàn)波形圖
1、全加器實(shí)驗(yàn)原理圖。
北京郵電大學(xué)電子工程學(xué)院
2、全加器實(shí)驗(yàn)波形圖。
五、仿真波形分析
由仿真波形可以看出,當(dāng)a,b,ci有兩個(gè)或者兩個(gè)以上為1時(shí),產(chǎn)生進(jìn)位,即co輸出為1,而輸出s則是當(dāng)a,b,ci輸入偶數(shù)個(gè)1時(shí)為0,奇數(shù)個(gè)1時(shí)為1,滿足實(shí)驗(yàn)原理,仿真波形正確。北京郵電大學(xué)電子工程學(xué)院
實(shí)驗(yàn)三
VHDL組合邏輯電路設(shè)計(jì)
一、實(shí)驗(yàn)?zāi)康模?/p>
(1)熟悉Quartus II原理圖輸入法進(jìn)行電路設(shè)計(jì)和仿真。(2)掌握Quartus II 圖形模塊單元的生成與調(diào)(3)熟悉實(shí)驗(yàn)板的使用
二、實(shí)驗(yàn)所用器材:(1)計(jì)算機(jī)(2)直流穩(wěn)壓電源
(3)數(shù)字系統(tǒng)與邏輯設(shè)計(jì)實(shí)驗(yàn)開(kāi)發(fā)板
三、實(shí)驗(yàn)任務(wù)要求
(1)用VHDL語(yǔ)言設(shè)計(jì)將8421計(jì)數(shù)器,分頻器和數(shù)碼管譯碼器連接使用,實(shí)現(xiàn)在指定數(shù)碼管滾動(dòng)顯示0-9,其余數(shù)碼管不亮,并帶有清零功能,并下載到實(shí)驗(yàn)板顯示計(jì)數(shù)結(jié)果。
四、實(shí)驗(yàn)VHDL代碼和仿真波形圖(1)VHDL代碼 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi is port(clk,clr:in std_logic;北京郵電大學(xué)電子工程學(xué)院
b:out std_logic_vector(6 downto 0);cat:out std_logic_vector(5 downto 0));end xianshi;
architecture a of xianshi is signal ctmp:std_logic_vector(3 downto 0);signal tmp:integer range 0 to 1249999;signal clktmp:std_logic;signal e:std_logic_vector(6 downto 0);begin p1:process(clk,clr)begin if clr='0' then tmp<=0;elsif clk'event and clk='1' then if tmp=1249999 then tmp<=0;clktmp<=not clktmp;else tmp<=tmp+1;end if;end if;end process p1;北京郵電大學(xué)電子工程學(xué)院
p2:process(clktmp)begin if clr='0' then ctmp<=“0000”;elsif(clktmp'event and clktmp='1')then if ctmp=“1001”then ctmp<=“0000”;else ctmp<=ctmp+1;end if;end if;end process p2;
p3:process(ctmp,clr)begin if(clr='0')then cat<=“111111”;else case ctmp is when“0000”=>e<=“1111110”;--0 北京郵電大學(xué)電子工程學(xué)院
when“0001”=>e<=“0110000”;--1 when“0010”=>e<=“1101101”;--2 when“0011”=>e<=“1111001”;--3 when“0100”=>e<=“0110011”;--4 when“0101”=>e<=“1011011”;--5 when“0110”=>e<=“1011111”;--6 when“0111”=>e<=“1110000”;--7 when“1000”=>e<=“1111111”;--8 when“1001”=>e<=“1111011”;--9 when others=>e<=“0000000”;end case;cat<=“110111”;end if;b<=e;end process p3;(2)仿真波形圖 北京郵電大學(xué)電子工程學(xué)院
五、仿真波形分析
由仿真波形圖可以看出,輸出cat始終未110111,只有第三個(gè)數(shù)碼管亮,輸出b在循環(huán)變化,b控制數(shù)碼管顯示不同的數(shù)字,所以這是一個(gè)0~9滾動(dòng)顯示數(shù)碼管的波形圖。
六、模塊端口說(shuō)明及連接圖 北京郵電大學(xué)電子工程學(xué)院
實(shí)驗(yàn)四
VHDL組合邏輯電路設(shè)計(jì)
一、實(shí)驗(yàn)?zāi)康模?/p>
(1)熟悉Quartus II原理圖輸入法進(jìn)行電路設(shè)計(jì)和仿真。(2)掌握Quartus II 圖形模塊單元的生成與調(diào)(3)熟悉實(shí)驗(yàn)板的使用
二、實(shí)驗(yàn)所用器材:(1)計(jì)算機(jī)(2)直流穩(wěn)壓電源
(3)數(shù)字系統(tǒng)與邏輯設(shè)計(jì)實(shí)驗(yàn)開(kāi)發(fā)板
三、實(shí)驗(yàn)任務(wù)要求
(1)用 VHDL 語(yǔ)言設(shè)計(jì)并實(shí)現(xiàn)一個(gè) 8×8 點(diǎn)陣行掃描控制器,要求從上至下逐行循環(huán) 點(diǎn)亮點(diǎn)陣(紅色或綠色均可),每行點(diǎn)亮?xí)r間為 0.5 秒。
(2)用 VHDL 語(yǔ)言設(shè)計(jì)并實(shí)現(xiàn)一個(gè) 8×8 點(diǎn)陣行掃描控制器,要求從上至下逐行點(diǎn)亮 點(diǎn)陣,第一行為紅色,第二行為綠色,依次類(lèi)推,直至點(diǎn)亮所有行,然后全部熄滅,再重新從第一行開(kāi)始。
四、實(shí)驗(yàn)VHDL代碼和仿真波形圖(1)單色逐行點(diǎn)亮VHDL代碼 library ieee;use ieee.std_logic_1164.all;北京郵電大學(xué)電子工程學(xué)院
use ieee.std_logic_unsigned.all;
entity dianzhen is port(clk,clr:in std_logic;col,row:out std_logic_vector(7 downto 0));end dianzhen;
architecture a of dianzhen is signal a: integer range 0 to 2499999;signal clktmp:std_logic;signal ctmp:std_logic_vector(2 downto 0);begin p1:process(clk,clr)begin if clr='0' then clktmp<='0';elsif clk'event and clk='1' then if a=2499999 then a<=0;clktmp<=not clktmp;else 北京郵電大學(xué)電子工程學(xué)院
a<=a+1;end if;end if;end process p1;
p2:process(clktmp)begin if clr='0' then ctmp<=“000”;elsif(clktmp'event and clktmp='1')then if ctmp=“111”then ctmp<=“000”;else ctmp<=ctmp+1;end if;end if;end process p2;
p3:process(ctmp)begin case ctmp is when“000”=>row<=“11111110”;北京郵電大學(xué)電子工程學(xué)院
when“001”=>row<=“11111101”;when“010”=>row<=“11111011”;when“011”=>row<=“11110111”;when“100”=>row<=“11101111”;when“101”=>row<=“11011111”;when“110”=>row<=“10111111”;when“111”=>row<=“01111111”;when others=>row<=“11111111”;end case;col<=“11111111”;end process p3;end a;
(2)單色逐行點(diǎn)亮仿真波形圖 北京郵電大學(xué)電子工程學(xué)院
(3)雙色逐行出現(xiàn)點(diǎn)陣VHDL代碼 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;
entity dianzhen is port(clk,clr:in std_logic;colr,colg,row:out std_logic_vector(7 downto 0));end dianzhen;
architecture a of dianzhen is signal a: integer range 0 to 2499999;signal clktmp:std_logic;signal ctmp:std_logic_vector(2 downto 0);signal rowtmp:std_logic_vector(7 downto 0);signal colrtmp,colgtmp:std_logic_vector(7 downto 0);begin p1:process(clk,clr)begin if clr='0' then clktmp<='0';北京郵電大學(xué)電子工程學(xué)院
elsif clk'event and clk='1' then if a=2499999 then a<=0;clktmp<=not clktmp;else a<=a+1;end if;end if;end process p1;
p2:process(clktmp)begin if clr='0' then ctmp<=“000”;elsif(clktmp'event and clktmp='1')then if ctmp=“111”then ctmp<=“000”;else ctmp<=ctmp+1;end if;end if;end process p2;北京郵電大學(xué)電子工程學(xué)院
p3:process(ctmp)begin if(clr='0')then rowtmp<=“11111111”;else case ctmp is when“000”=>rowtmp<=“11111110”;colrtmp<=“11111111”;colgtmp<=“00000000”;when“001”=>rowtmp<=“11111101”;colgtmp<=“11111111”;colrtmp<=“00000000”;when“010”=>rowtmp<=“11111011”;colrtmp<=“11111111”;colgtmp<=“00000000”;when“011”=>rowtmp<=“11110111”;colgtmp<=“11111111”;colrtmp<=“00000000”;when“100”=>rowtmp<=“11101111”;colrtmp<=“11111111”;colgtmp<=“00000000”;when“101”=>rowtmp<=“11011111”;colgtmp<=“11111111”;colrtmp<=“00000000”;when“110”=>rowtmp<=“10111111”;colrtmp<=“11111111”;colgtmp<=“00000000”;北京郵電大學(xué)電子工程學(xué)院
when“111”=>rowtmp<=“01111111”;colgtmp<=“11111111”;colrtmp<=“00000000”;when others=>rowtmp<=“11111111”;colgtmp<=“00000000”;colrtmp<=“00000000”;end case;end if;row<=rowtmp;colr<=colrtmp;colg<=colgtmp;end process p3;end a;(4)雙色逐行出現(xiàn)點(diǎn)陣仿真波形圖
五、仿真波形分析
(1)首先是單色逐行點(diǎn)亮的仿真波形,可以看出,每列接的都是高電平11111111,每行依次變化,由11111110變?yōu)?1111111(由于管腳的位置接法,雖然0在最后一位出現(xiàn),但是是第一行先亮),實(shí)現(xiàn)從上到下的依次點(diǎn)亮。
(2)其次是雙色逐行點(diǎn)亮的仿真波形,我做的是交替點(diǎn)亮過(guò)程,所 北京郵電大學(xué)電子工程學(xué)院
以在下一行點(diǎn)亮的時(shí)候,上一行會(huì)熄滅,并且顏色會(huì)改變,從波形可以看出colr和colg不同時(shí)為11111111,而是一個(gè)為11111111時(shí),一個(gè)為00000000,然后每行row依次改變。
六、模塊端口說(shuō)明及連接圖(1)單色逐行點(diǎn)亮點(diǎn)陣控制電路
(2)雙色逐行點(diǎn)亮點(diǎn)陣 北京郵電大學(xué)電子工程學(xué)院
本次實(shí)驗(yàn)故障及問(wèn)題分析
1、在剛開(kāi)始實(shí)驗(yàn)時(shí),不懂得怎么命名文件,也不知道頂層設(shè)計(jì)名要與文件名一樣,出現(xiàn)了在編譯時(shí)總是報(bào)錯(cuò),但是卻找不到原因的情況。
2、在設(shè)置波形時(shí),不知道如何設(shè)置時(shí)鐘周期,使得仿真總是不對(duì)。后來(lái)學(xué)會(huì)了,先把輸入group成一組,然后直接點(diǎn)擊
出現(xiàn)面,然后就可以設(shè)置了。
這個(gè)界 北京郵電大學(xué)電子工程學(xué)院
總結(jié)和結(jié)論
通過(guò)本學(xué)期的數(shù)字電路與邏輯設(shè)計(jì)的實(shí)驗(yàn)課程,我基本掌握了quartus的使用方法,VHDL語(yǔ)言的使用方法,并且學(xué)會(huì)了怎么將設(shè)計(jì)好的程序下載到實(shí)驗(yàn)板上,用實(shí)驗(yàn)板上的開(kāi)關(guān)控制led燈或者是數(shù)碼顯示管。雖然在實(shí)驗(yàn)過(guò)程中,編譯總是會(huì)有一些小錯(cuò)誤,比如,忘了寫(xiě)end if,忘了分號(hào)等等,但是,實(shí)驗(yàn)課給我一種學(xué)以致用的感覺(jué),讓我對(duì)數(shù)字電路與邏輯設(shè)計(jì)這個(gè)課程有了更好的了解,同時(shí),也給我學(xué)習(xí)課本提供了幫助,當(dāng)遇到課本上的疑難問(wèn)題,用quartus仿真就可以清楚觀察波形翻轉(zhuǎn)的時(shí)間,進(jìn)位或是借位信號(hào)等等。
從這四次實(shí)驗(yàn)課,我收獲的不僅是quartus的使用方法,更是一種對(duì)待課業(yè)的態(tài)度,不可以粗心大意,要仔細(xì)認(rèn)真,不然就會(huì)像仿真結(jié)果一樣,不斷的報(bào)錯(cuò)??偠灾?,實(shí)驗(yàn)課給了我們一個(gè)很好的運(yùn)用知識(shí)的平臺(tái),我們應(yīng)該珍惜實(shí)驗(yàn)的機(jī)會(huì)。
第四篇:電鍍銅實(shí)驗(yàn)報(bào)告
鍍金在工業(yè)、裝潢、藝術(shù)等諸多領(lǐng)域都有著重要的應(yīng)用,但目前我國(guó)現(xiàn)有的技術(shù),特別是工業(yè)上所使用的鍍金技術(shù)都存在著高能耗、高污染、低效率的缺陷,造成能資源浪費(fèi)、成本過(guò)高、環(huán)境污染等一系列問(wèn)題,不利于建設(shè)資源節(jié)約型、環(huán)境友好型的社會(huì),阻礙新型化工業(yè)的發(fā)展。
同時(shí),我們小組的成員在生活中發(fā)現(xiàn),有許多金屬采用了鍍銅技術(shù),使金屬更為美觀、耐用。但經(jīng)過(guò)上網(wǎng)搜索發(fā)現(xiàn),絕大多數(shù)鍍銅技術(shù)為有電鍍銅,只能用于工業(yè),對(duì)于小件金屬鍍銅顯得太過(guò)復(fù)雜,出于為祖國(guó)科技發(fā)展貢獻(xiàn)力量的熱情,同時(shí)也出于個(gè)人興趣以及自我提高、自我充實(shí)的目的,我們小組設(shè)計(jì)實(shí)驗(yàn),探尋節(jié)能、簡(jiǎn)便、實(shí)用、可行,更適合于在生活中應(yīng)用的無(wú)電鍍銅技術(shù)。
二、課題研究的目標(biāo):
對(duì)無(wú)電鍍銅的方法有所了解,用簡(jiǎn)易工具、原料,探尋無(wú)電鍍銅的方法:在鐵釘、刀片等金屬上鍍上一層銅膜。同時(shí)在傳統(tǒng)鍍銅工業(yè)的基礎(chǔ)上,增進(jìn)知識(shí),做一個(gè)有心的化學(xué)學(xué)習(xí)者。
三、課題的新穎性:
出于對(duì)化學(xué)學(xué)科的濃厚興趣,小組成員主動(dòng)提出探究鍍銅的方法,在課題研究的過(guò)程中打破了傳統(tǒng)鍍銅思想的束縛,自己動(dòng)手做試驗(yàn),并大膽提出問(wèn)題與猜想,用一種全新的理念思考問(wèn)題,另辟蹊徑,探尋新思路、新方法。
四、可行性分析:
運(yùn)用電鍍的原理,設(shè)計(jì)了實(shí)驗(yàn),該實(shí)驗(yàn)遵循科學(xué)性、可行性,小組成員自備實(shí)驗(yàn)器材與相關(guān)藥品,比如常見(jiàn)金屬銅、鐵,普通家庭中易獲得的食鹽,白醋等進(jìn)行實(shí)驗(yàn),簡(jiǎn)便可行。
五、課題研究方案(內(nèi)容、方法、途徑): 1.通過(guò)高一第一學(xué)期對(duì)金屬的學(xué)習(xí),小組成員對(duì)于鍍金屬的方法產(chǎn)生了濃厚的興趣。2.小組成員通過(guò)圖書(shū)館,網(wǎng)絡(luò)等多方面途徑,查閱大量資料,搜集和積累有關(guān)文獻(xiàn),對(duì)每一種傳統(tǒng)鍍銅方法進(jìn)行細(xì)致、全面的評(píng)價(jià)。3.大家齊心協(xié)力經(jīng)過(guò)嚴(yán)密的討論,設(shè)計(jì)了實(shí)驗(yàn)。4.按照設(shè)計(jì)的實(shí)驗(yàn),自備實(shí)驗(yàn)藥品,請(qǐng)教化學(xué)老師,作了充分的準(zhǔn)備工作,自己動(dòng)手。5.在實(shí)驗(yàn)后,大家撰寫(xiě)論文和實(shí)驗(yàn)報(bào)告,親身感受無(wú)電鍍銅的優(yōu)點(diǎn)與化學(xué)的神奇魅力。
六、論文:
對(duì)“無(wú)電鍍銅”的研究
(一)引子
無(wú)論是從化學(xué)還是生活的領(lǐng)域上說(shuō),我們對(duì)銅并不陌生。銅是人類(lèi)最早發(fā)現(xiàn)的古老金屬之一,早在三千多年前人類(lèi)就開(kāi)始使用銅。自然界中的銅分為自然銅、氧化銅礦和硫化銅礦?,F(xiàn)在世界上80%以上的銅是從硫化銅礦精煉出來(lái)的,這種礦石含銅量極低,一般在2-3%左右。銅具有許多可貴而優(yōu)異的物理化學(xué)特性和奇妙的功能,不但為人類(lèi)社會(huì)的進(jìn)步作出了不可磨滅的貢獻(xiàn),而且隨著人類(lèi)文明的發(fā)展不斷開(kāi)發(fā)出新的用途。從化學(xué)1中,我們已學(xué)了不少關(guān)于銅的化學(xué)知識(shí)。銅的性質(zhì),特征,種類(lèi)及冶煉方法。我們知道了銅的熱導(dǎo)率很高,化學(xué)穩(wěn)定性強(qiáng),抗張強(qiáng)度大,易熔接,且有很好的抗蝕性、可塑性、延展性。而常見(jiàn)的銅,有黃礦銅,孔雀石等。至于冶煉銅的方法,我們已學(xué)了電解法(電解氯化銅溶液),濕法煉銅,生物煉銅和粗銅的精煉等。
那么,如何用簡(jiǎn)便的方法鍍銅,以此來(lái)應(yīng)用于我們的生活中呢?經(jīng)過(guò)化學(xué)課上的學(xué)習(xí),本化學(xué)小組對(duì)鍍銅產(chǎn)生了濃厚的興趣,大家共同合作進(jìn)行了深入的探究。
(二)傳統(tǒng)鍍銅方法
一般來(lái)說(shuō),現(xiàn)在所使用的傳統(tǒng)鍍銅方法有非金屬流液鍍銅法,無(wú)氰鍍銅液及無(wú)氰鍍銅法,通路孔鍍銅法,小直徑孔鍍銅法,不溶陽(yáng)極電鍍銅法,半導(dǎo)體活化材料化學(xué)鍍銅法,非水體系儲(chǔ)氫合金粉的化學(xué)鍍銅法,絕緣瓷套低溫自催化鍍銅法,碳纖維均勻鍍銅工藝,陶瓷玻璃常溫化學(xué)鍍銅法等。
由于涉及專利問(wèn)題,以上鍍銅方法均不能找到詳細(xì)過(guò)程。但是我們?cè)谔骄康倪^(guò)程中發(fā)現(xiàn),以上這些鍍銅方法往往耗資巨大,因?yàn)榇蠖噱冦~工藝適用于工業(yè),因此在操作過(guò)程中具有一定危險(xiǎn)性與困難性,也曾發(fā)生過(guò)在鍍銅工藝過(guò)程中工作人員中毒的事件。因此,探究新的,更安全,更合理,更簡(jiǎn)便的鍍銅方法也是科學(xué)家們迫在眉睫的研究問(wèn)題。
(三)關(guān)于無(wú)電鍍銅
此為日本東京株式會(huì)社日礦材料申請(qǐng)的專利。一種無(wú)電鍍銅溶液,其特征在于與第一還原劑一起使用作為第二還原劑的次磷酸或次磷酸鹽,并同時(shí)使用抑制銅沉積的穩(wěn)定劑。第一還原劑包括福爾馬林和二羥乙酸,次磷酸鹽包括次磷酸鈉、次磷酸鉀和次磷酸銨。抑制銅沉積的穩(wěn)定劑包括煙酸、硫脲、2-巰基苯或巰基乙酸。在較難發(fā)生鍍敷反應(yīng)的鏡面(例如半導(dǎo)體晶片等)上無(wú)電鍍銅時(shí),該無(wú)電鍍銅溶液可以在降低的溫度下上實(shí)現(xiàn)均勻鍍敷。
銅金屬的無(wú)電電鍍制成的阻擋層沉積能給人們帶來(lái)很多好處。它適應(yīng)集約型工業(yè)的特點(diǎn),環(huán)保安全,適合科技工作者的研究與工業(yè)上技術(shù)的進(jìn)一步革新;在工業(yè)上有廣泛應(yīng)用,如上圖所示,它可作為電鍍銅的有效補(bǔ)充,是高新工藝的重要組成部分。據(jù)樂(lè)觀估計(jì),未來(lái)在鍍銅工業(yè)上無(wú)電鍍銅將會(huì)有更廣闊的發(fā)展前景。
無(wú)電鍍銅的優(yōu)點(diǎn)中,有對(duì)雙向?qū)щ姷倪x擇性,有再沉積一種無(wú)定形合金的可能性,有通過(guò)加入一種第三組份而使二元合金的性質(zhì)增強(qiáng)化的好處,有高可靠性和低成本費(fèi)用。在集成電路的結(jié)構(gòu)中在銅和鈷的面上,在堿性溶液中常沉積一層富鈷的鈷——鎢——磷三元合金。合金中磷的成分較高(以重量計(jì)約11%),低的第三組份鎢(約以重量計(jì)占2%)。
以無(wú)電鍍銅膜作為后續(xù)電鍍銅的晶種層,得到純度相當(dāng)高,表面粗糙度低,電阻系數(shù)低,殘留應(yīng)力低,填充能力極佳的銅金屬內(nèi)連線,具有絕佳的平整度與良好的階梯覆蓋性, 銅鍍層與
幾何結(jié)構(gòu)一致成份純且均勻,此一整合性低溫電化學(xué)鍍銅法,非常適合作為下一時(shí)代90 nm 以下超大型積體電路中金屬內(nèi)連線之使用。
(四)探究無(wú)電鍍銅的實(shí)驗(yàn) [實(shí)驗(yàn)?zāi)康腯探究學(xué)生利用日常材料進(jìn)行無(wú)電鍍銅的可行性 [實(shí)驗(yàn)原理] 所謂電鍍,就是應(yīng)用電解原理,在某些金屬表面鍍上一薄層其它金屬或合金的過(guò)程。[關(guān)于實(shí)驗(yàn)的猜想與問(wèn)題] 1.銅片在氨水中浸沒(méi)時(shí)與在玻璃罐中時(shí)的狀態(tài)是否相同? 2.在將銅片放入溶液中后,為什么銅綠會(huì)消失,銅片會(huì)露出金屬的本色,而銅片表面又為
什么會(huì)有氣泡產(chǎn)生?
[實(shí)驗(yàn)時(shí)間]2007年2月2日下午第四節(jié)課 [實(shí)驗(yàn)場(chǎng)所]效實(shí)中學(xué)化學(xué)實(shí)驗(yàn)室 [實(shí)驗(yàn)用品] 氨水、銅片(約20枚)、盤(pán)子、足量餐巾紙、百潔絲、鐵釘(約4枚)、足量食鹽、足量白醋,茶匙,玻璃罐2個(gè),盤(pán)子2個(gè),紙巾若干 [實(shí)驗(yàn)步驟]
1、取20枚銅片及足量餐巾紙,準(zhǔn)備好盤(pán)子放于通風(fēng)處,先將幾層紙巾均勻平鋪于盤(pán)子上,然后將銅片均勻置于餐巾紙上。
2、將氨水淋在銅片上,使得銅片剛好浸沒(méi)在氨水中,晾干。觀察到氨水在倒入盤(pán)子后迅速放出有刺激性氣味的氣體,在很長(zhǎng)一段時(shí)間內(nèi)刺鼻氣味沒(méi)有消失。當(dāng)氨水浸沒(méi)銅片后約三分鐘,餐巾紙上呈現(xiàn)出藍(lán)色,且在銅片晾干后,表面形成一層銅綠。(銅片與氨水和空氣中的二氧化碳反應(yīng),生成了堿式碳酸銅和氨氣,放出的有刺激性氣味的氣體即為氨氣。推測(cè)實(shí)驗(yàn)方程式:2cu+nh3·h20+co2 =cu2(oh)2co3+nh3↑)
3、將白醋倒入玻璃瓶中(約半瓶),然后將半勺食鹽倒入玻璃瓶中,用茶匙攪拌直至食鹽完全溶解。4將20枚銅片放入玻璃瓶里靜置。觀察到銅片表面的銅綠基本消失,銅片露出銅的金屬本色,銅片表面有氣泡產(chǎn)生。5.用百潔絲擦拭鐵釘表面,直到鐵釘露出金屬光澤。然后將鐵釘放入玻璃罐中,靜置過(guò)夜。觀察到:將鐵釘投入溶液后,立即有大量氣泡產(chǎn)生,并附著于其表面。6.將玻璃瓶靜置于陰涼通風(fēng)處二至三日,觀察到:過(guò)夜后鐵釘表面形成了一層銅膜,并隨著時(shí)間的推移銅膜逐漸致密。當(dāng)玻璃瓶被靜置后數(shù)日,仍能看到有氣泡產(chǎn)生,同時(shí)溶液呈橘紅色,鐵釘與銅片較剛放入時(shí)顯現(xiàn)出更明亮的光澤。[誤差分析]
1、因時(shí)間關(guān)系,銅片在沒(méi)有完全晾干的情況下,或是鐵釘表面的鐵銹沒(méi)有完全被百潔絲擦去,未充分顯出光澤時(shí),即被投入白醋中,可能造成誤差或使現(xiàn)象不明顯。
2、白醋及氨水的質(zhì)量得不到充分保證,用量尚需斟酌。
3、由于實(shí)驗(yàn)所需材料均為自備(除氨水與銅片外),因此與專業(yè)材料相比,難免在用量與用法上有所誤差,這可能造成實(shí)驗(yàn)結(jié)果不明顯。[實(shí)驗(yàn)結(jié)論] 經(jīng)過(guò)較長(zhǎng)時(shí)間的靜置,玻璃瓶中的鐵釘表面已覆蓋上一層薄膜,表面顯銅的自然光澤,-這表明用此法鍍銅取得一定成效。(cu-2e=cu2+)
猜測(cè)銅離子與醋酸以及氫氧根反應(yīng),生成銅、甲酸氫根與氫氣。推測(cè)實(shí)驗(yàn)方程式:+--cu2+2ch3cooh+4oh=cu+2hc2o4+5h2↑
(五)對(duì)于實(shí)驗(yàn)的評(píng)價(jià) 1.關(guān)于化學(xué)學(xué)習(xí): 根據(jù)以上結(jié)論,我們了解了“無(wú)電鍍銅”的基本方法,將“有電鍍銅”和“無(wú)電鍍銅”緊密的結(jié)合在一起,并且這個(gè)實(shí)驗(yàn)在實(shí)驗(yàn)室就能完成,簡(jiǎn)單方便,我們從中更體會(huì)到了化學(xué)的靈活性以及化學(xué)與生活的緊密聯(lián)系。2.關(guān)于實(shí)驗(yàn): 科學(xué)性:
不斷地通過(guò)學(xué)習(xí)、思考、研究獲取新知識(shí),并且學(xué)以致用,解決實(shí)際問(wèn)題。時(shí)效性: 無(wú)電鍍銅是當(dāng)今社會(huì)的一大化學(xué)熱點(diǎn),對(duì)它的研究就意味著跟上時(shí)代的腳步,就是對(duì)世界的充分了解,就是快速的溶入整個(gè)世界,具有現(xiàn)代化學(xué)理念。創(chuàng)新性:
在實(shí)驗(yàn)室中進(jìn)行這個(gè)無(wú)電鍍銅的實(shí)驗(yàn),不同與其他的試驗(yàn),試驗(yàn)場(chǎng)所發(fā)生了質(zhì)的飛躍,從儀器精密的工廠了,來(lái)到了簡(jiǎn)單方便的實(shí)驗(yàn)室,把程序繁瑣的試驗(yàn)過(guò)程變成了簡(jiǎn)單的試驗(yàn)探索,這也就是其創(chuàng)新意義之所在:刪繁就簡(jiǎn)。探索性:
“無(wú)電鍍銅”對(duì)于大多數(shù)的同學(xué)來(lái)說(shuō)還是比較陌生的,所以無(wú)電鍍銅這個(gè)試驗(yàn)也是對(duì)不知道的化學(xué)領(lǐng)域的探索研究。通過(guò)這次實(shí)驗(yàn),我們不僅加深了對(duì)化學(xué)的了解,更是體驗(yàn)到了探索精神和樂(lè)趣。合理性:
本次課題研究,先由課題選擇、查閱資料、再制訂實(shí)驗(yàn)方案、再進(jìn)行實(shí)驗(yàn),以驗(yàn)證理論推想,最終得出結(jié)論。理論指導(dǎo)了實(shí)踐,實(shí)踐驗(yàn)證了理論,最后形成結(jié)論,完成了“理論——實(shí)踐——理論”三步走,整個(gè)過(guò)程思路清晰、完整、有條理??尚行裕?/p>
本次課題研究中所用器材和藥品都是很常見(jiàn)且簡(jiǎn)易的,基本可以在家庭的廚房中找到,成本低廉,這也是本次課題——無(wú)電鍍銅的最大優(yōu)點(diǎn)。有待進(jìn)一步完善的問(wèn)題:
其一是速度太慢,需1—2天小鐵釘才能完整地鍍上銅膜; 其二是4—5天后仍浸在介質(zhì)中的刀片表面銅膜脫落,刀面呈黑色。可見(jiàn)如要將該法應(yīng)用于工業(yè)生產(chǎn)中需對(duì)此法的生產(chǎn)工藝作進(jìn)一步的研究,以完善其實(shí)用性。3.關(guān)于實(shí)驗(yàn)細(xì)節(jié):
值得學(xué)習(xí)的地方:
(1).實(shí)驗(yàn)進(jìn)行的嚴(yán)密性:這里的嚴(yán)密性不僅僅是指實(shí)驗(yàn)步驟的嚴(yán)密,也是指實(shí)驗(yàn)前對(duì)可能發(fā)生的意外作出的周密思考,對(duì)于有刺激性氣味的化學(xué)反應(yīng)過(guò)程,均在通風(fēng)處完成;所有藥品的取、放以及實(shí)驗(yàn)操作都嚴(yán)格遵守實(shí)驗(yàn)規(guī)范。
(2).多次實(shí)驗(yàn)看結(jié)果:不同與普遍的化學(xué)實(shí)驗(yàn),出于嚴(yán)謹(jǐn)考慮,本次實(shí)驗(yàn)我們作了多組平行試驗(yàn),在實(shí)驗(yàn)過(guò)程中及時(shí)記錄實(shí)驗(yàn)現(xiàn)象,并持續(xù)跟蹤觀察、記錄,使實(shí)驗(yàn)結(jié)果更有代表性,更能說(shuō)明問(wèn)題。
可以改進(jìn)的地方:
(1).實(shí)驗(yàn)器材的精確性:實(shí)驗(yàn)器材應(yīng)該新買(mǎi),而不應(yīng)該個(gè)人準(zhǔn)備,雖然這只是一個(gè)小實(shí)驗(yàn),但藥品的純度則會(huì)對(duì)實(shí)驗(yàn)造成影響。4.關(guān)于小組合作:
(1).在此實(shí)驗(yàn)前,小組成員進(jìn)行了積極且充分的準(zhǔn)備,除銅片和氨水外,所有的器材和藥品都由組員從各自家中帶來(lái),并及時(shí)與老師溝通借用所缺的實(shí)驗(yàn)用品、確定實(shí)驗(yàn)場(chǎng)所,并且查閱相關(guān)的資料。
(2).實(shí)驗(yàn)時(shí),組員團(tuán)結(jié)一致,分工合作,服從命令,合理統(tǒng)籌。整個(gè)實(shí)驗(yàn)過(guò)程緊湊、高效,用時(shí)約2/3小時(shí),又快又好地完成了預(yù)期的實(shí)驗(yàn)任務(wù)。在實(shí)驗(yàn)中,小組成員本著安全實(shí)驗(yàn),實(shí)事求是的原則和科學(xué)嚴(yán)謹(jǐn)?shù)膽B(tài)度,力求圓滿。
(3).實(shí)驗(yàn)結(jié)束后,在組長(zhǎng)牽頭主持下,小組進(jìn)行了實(shí)驗(yàn)總結(jié),并著手撰寫(xiě)相關(guān)論文和實(shí)驗(yàn)報(bào)告。
5.關(guān)于實(shí)驗(yàn)成果
我們成功地在鐵釘、刀片上鍍上了一層銅膜,取得了預(yù)期的效果,驗(yàn)證了實(shí)驗(yàn)前的推理和判斷。
七、成果形式:
兩個(gè)裝有銅片的玻璃罐,一份綜合的實(shí)驗(yàn)報(bào)告,一篇研究性學(xué)習(xí)活動(dòng)論文。
八 感想 :
這次的實(shí)驗(yàn)不僅對(duì)于我們以后在化學(xué)的學(xué)習(xí)上會(huì)有很大幫助,而且在以后的社會(huì)生活中也會(huì)受益無(wú)窮。因?yàn)槲覀兠靼琢巳绾魏侠砝勉~及對(duì)銅的收藏。這也為步入科技發(fā)達(dá)的社會(huì)做好了很好的理論鋪墊。這次實(shí)驗(yàn)不僅有利于整個(gè)大的環(huán)境,也有利于我們這黃金一代綜合素質(zhì)的提高,真可謂是益處多多,我們都希望以后還能再進(jìn)行這樣的實(shí)驗(yàn)。
在此次,也是首次課題研究中,組員們?cè)诮M長(zhǎng)的主持下,積極參與,積極探索,集思廣益,是本次課題取得成功的重要因素。在研究過(guò)程中同學(xué)們表現(xiàn)出來(lái)的善于思考、實(shí)事求是、互助合作、科學(xué)合理的工作方式是我們一輩子受用的。
九 參考書(shū)目:
《物理化學(xué)》 傅獻(xiàn)彩、沈文霞、姚天揚(yáng)主編 高等教育出版社 2000年
《金屬材料學(xué)》作者:李云凱 北京理工大學(xué)出版社 2006年1月
《近代化學(xué)導(dǎo)論》上下冊(cè) 高教出版社 2002年版
《化學(xué)工程基礎(chǔ)》 武漢大學(xué)主編 高等教育出版社 第一版篇二:實(shí)驗(yàn)四 光亮電鍍銅 實(shí)驗(yàn)四 光亮電鍍銅
一、目的及要求
1、熟悉電鍍小試的裝置和儀器設(shè)備。
2、掌握光亮鍍銅溶液的配制及預(yù)鍍工藝。
3、進(jìn)行赫爾槽試驗(yàn),分析光亮劑影響。
二、儀器、化學(xué)試劑
直流電源、電爐、控溫儀、赫爾槽及試片、電解銅板;
硫酸銅、硫酸、鍍銅光亮劑、鍍鎳溶液、鎳陽(yáng)極。
三、實(shí)驗(yàn)步驟
1、工藝流程
試片準(zhǔn)備――酸洗――水洗――除油――水洗――浸蝕――預(yù)鍍鎳――(或銅錫合金)――水洗――酸性亮銅――水洗
2、溶液配方及工藝條件
預(yù)鍍鎳溶液:
硫酸鎳: 120~140g/l 氯化鈉: 7~9 g/l 硼酸: 0~40 g/l 無(wú)水硫酸鈉: 50~80 g/l 十二烷基硫酸鈉: 0.01~0.02 g/l ph: 5.0~6.0 溫度: 30~50℃
電流密度: 0.8~1.5a/dm2 酸性亮銅溶液:
硫酸銅: 200~220 g/l 硫酸(1.84): 60~70 g/l 四氫噻唑硫酮: 5×10-4 ~3×10-3 g/l 鹽酸: 0.02~0.08 g/l 十二烷基硫酸鈉: 0.05~0.2 g/l 溫度: 10~30℃(室溫)
電流密度: 1~4 a/dm2 攪拌: 陰極移動(dòng)
3、用赫爾槽實(shí)驗(yàn)觀察光亮劑對(duì)同層質(zhì)量影響,記錄試驗(yàn)情況。
五、思考問(wèn)題及要求
1、酸性亮銅電鍍前為什么要進(jìn)行預(yù)鍍?預(yù)鍍工藝有哪幾種?
2、溶液ph對(duì)銅層質(zhì)量有什么影響?
4、以論文形式寫(xiě)出光亮劑對(duì)鍍層質(zhì)量影響為內(nèi)容的實(shí)驗(yàn)報(bào)告。
附錄 用有機(jī)玻璃板自制赫爾槽
赫爾槽結(jié)構(gòu)簡(jiǎn)單,制造和使用方便。目前國(guó)內(nèi)外已廣泛應(yīng)用于電鍍實(shí)驗(yàn)和工廠生產(chǎn)的質(zhì)量管理,特別是應(yīng)用于光亮電鍍添加劑的控制,成為電鍍工作者不可缺少的工具,267ml赫爾槽尺寸如圖。材料:有機(jī)玻璃;槽深:65;厚:3-5
一、目的要求
掌握有機(jī)玻璃黏結(jié)技術(shù),自制267ml赫爾槽
二、藥品與材料:
有機(jī)玻璃板3~5mm厚
鋼鋸、細(xì)紗布180~270#、脫脂棉。100ml棕色試劑瓶1個(gè)
三氯化烷、乙醇
三、有機(jī)玻璃黏結(jié)劑配制: 配方:三氯甲烷95ml 乙醇1~2 ml 有機(jī)玻璃碎塊或碎屑5克。
按上述比例配入棕色瓶中,避光、密封備用。
四、制作:
1、用鋼鋸按圖中尺寸下料
2、用細(xì)紗布將毛邊打磨平整
3、黏結(jié):用脫脂棉先蘸點(diǎn)酒精將有機(jī)玻璃需要粘合的地方擦洗干凈,然后均勻地涂上一層
有機(jī)玻璃粘合液,將兩塊吻合壓緊待溶液揮發(fā)即可粘牢。
4、如果有機(jī)玻璃板面上有玻璃劃痕或象毛玻璃一樣模糊不清,可將白色拋光膏涂抹到布上
反復(fù)擦磨直至透明光潔,沒(méi)有拋光膏可用牙膏代替。
檢查不漏水即可使用,若有滲漏現(xiàn)象,應(yīng)再涂些黏合劑。篇三:實(shí)驗(yàn)一電鍍銅(1)1 實(shí)驗(yàn)一 電鍍銅
一、實(shí)驗(yàn)?zāi)康?/p>
1. 了解電鍍的主要裝置。2. 了解鍍銅電解液的主要成分和作用。3. 掌握影響鍍層質(zhì)量的主要因素。
二、實(shí)驗(yàn)儀器及材料
1. 儀器:直流穩(wěn)壓源、導(dǎo)線、化學(xué)試劑、電子天平、銅板,燒杯(500ml 2只,100ml1只),鱷魚(yú)夾,砂紙,一元硬幣。2. 藥品:naoh,na4p2o7,cuso4,na2hpo4,nh4no3,na2co3,na3po4,na2sio3,肉桂酸。
圖1 電鍍cu實(shí)驗(yàn)裝置
三、實(shí)驗(yàn)原理
電鍍是指在含有欲鍍金屬的鹽類(lèi)溶液中,以被鍍基體金屬為陰極,通過(guò)電解作用,使鍍液中欲鍍金屬的陽(yáng)離子在基體金屬表面沉積出來(lái),形成鍍層的一種表面加工方法。
本實(shí)驗(yàn)采用焦磷酸鹽鍍銅液,能獲得厚度均勻、結(jié)晶致密的鍍銅層,而且操作簡(jiǎn)便、成本較低且污染小。這種電鍍液的主要成分是硫酸銅和焦磷酸鈉(na4p2o7)在溶液中形成的配合物焦磷酸銅鈉,反應(yīng)式為:
cuso4+2 na4p2o7→na4[cu(p2o7)2]+ na2so4;
配離子[cu(p2o7)2]6-較穩(wěn)定,溶液中游離的cu2+濃度很低,所以陰極上的電極反應(yīng)為: [cu(p2o7)2]6-→ cu2+ +2p2o74-cu2++2e→cu 總反應(yīng):[cu(p2o7)2]6-+2e-→cu+2p2o74-
四、實(shí)驗(yàn)內(nèi)容及步驟 1. 實(shí)驗(yàn)內(nèi)容
(1)熟悉鍍銅裝置的各種儀器及作用;
(2)配制鍍銅電解液;
(3)觀察鍍銅工藝過(guò)程;
(4)檢查銅鍍層質(zhì)量,分析工藝參數(shù)對(duì)鍍層質(zhì)量的影響。2. 實(shí)驗(yàn)步驟
(1)硬幣的預(yù)處理。用砂紙打磨硬幣,然后用去離子水沖洗干凈,放入50℃ 的除油液中,超聲清洗10分鐘,將硬幣用去離子水沖洗干凈并擦干,用
電子天平稱量,質(zhì)量記為m1。
除油液配方:naoh 30g/l,na2co3 30g/l,na3po4 30g/l,na2sio3 4g/l。(2)電鍍條件。以銅片為陽(yáng)極,硬幣為陰極,接通直流穩(wěn)壓電源,將盛電鍍液的燒杯置于水浴鍋中,在25℃下,電流密度為0.50-0.75a·dm-2,電鍍液的ph值為8.5,極板間距為1.5cm,電鍍時(shí)間在10分鐘~l5分鐘的條件下進(jìn)行電鍍。
電鍍液配方:na4p2o7 150g/l,cuso4 40g/l,na2hpo4 25g/l,nh4no3 12g/l,肉桂酸3g/l。
(3)鍍后處理及鍍件質(zhì)量比較。電鍍完畢后將硬幣用去離子水沖洗干凈并擦
干,用電子天平稱量,質(zhì)量記為m2,觀察鍍層表面的牢固程度和光亮程度。
五、實(shí)驗(yàn)結(jié)果與討論
六、實(shí)驗(yàn)注意事項(xiàng)
1. 電解液化學(xué)品有一定的腐蝕和污染,實(shí)驗(yàn)和觀察過(guò)程中應(yīng)避免手、皮膚直接接觸; 2. 實(shí)驗(yàn)完成后電解液必須倒入專用容器集中處理,不能隨意倒入下水道,避免污染和腐蝕環(huán)境。鍍槽和電極零件應(yīng)清洗干凈。篇四:化學(xué)電鍍法材料工藝實(shí)驗(yàn)報(bào)告
化學(xué)電鍍法材料工藝實(shí)驗(yàn)報(bào)告
羅強(qiáng) 材料物理112 2011034070
一、實(shí)驗(yàn)原理
本次電鍍實(shí)驗(yàn)利用電解池原理,將銅鋅合金板接在電源正極上作為電解池的陰極,將石墨接在電源負(fù)極上作為電解池的陽(yáng)極。在通電后,在陰極附近ni離子被還原成金屬附著在陰極的銅鋅合金板上,以完成電鍍過(guò)程。
二、實(shí)驗(yàn)儀器與藥品
電解槽、電源、兩塊銅鋅合金板、石墨棒、適量0.1mol/lnino3溶液、燒杯、量筒、玻璃棒、電子天平、尺子、烘箱。
三、實(shí)驗(yàn)條件
1、電解槽中不用鼓泡,電解時(shí)可用玻璃棒攪拌。
2、電流用0.3a。
3、電解時(shí)間為15min。
4、電解在室溫下進(jìn)行。
四、實(shí)驗(yàn)過(guò)程
1、拋光兩塊銅鋅合金片,用清水清洗后用去離子水沖洗,然后用乙醇溶液清洗,再用吹風(fēng)吹干。吹干后分別置于電子天平上稱量,質(zhì)量分別記為m1=84.7251g和m2=86.1009g。(注意:m1為放入電解槽后離石墨棒較近的板子,m2為放入電解槽后離石墨棒較遠(yuǎn)的板子)
2、將兩塊板子放置在電解槽中,并固定好石墨棒。用尺子測(cè)出石墨棒與合金板的最近和最遠(yuǎn)距離,分別記為l1=5.55cm、l2=12.92cm。
3、用量筒取適量0.1mol/lnino3溶液倒入電解槽中。
4、連好電路開(kāi)始電解過(guò)程,時(shí)間為15分鐘。在電解過(guò)程中,時(shí)常用玻璃棒攪拌溶液,使溶液濃度隨時(shí)保持均勻。
5、取出鍍好的合金板和石墨棒,倒掉廢液,將電解槽洗凈放回原位。用去離子水清洗合金板,洗凈后放入燒杯,將燒杯置于烘箱中烘15min,中間可取出兩次觀察鍍層情況。
6、將烘干的合金板置于電子天平上稱量,質(zhì)量分別記為m1’=84.7550g和m2’=86.1175g。
7、根據(jù)數(shù)據(jù)和公式計(jì)算分散能力,得出結(jié)論。
8、收拾好實(shí)驗(yàn)用具離開(kāi)實(shí)驗(yàn)室。
五、數(shù)據(jù)計(jì)算 k=l2/l1,m1=m1’-m1,m2=m2’-m2 分散能力t=[(k-m1/m2)/(k-1)]*100% 代入數(shù)據(jù)計(jì)算可得t=43%
六、實(shí)驗(yàn)結(jié)果
該實(shí)驗(yàn)要求得到的t值應(yīng)小于50%,我們得到的數(shù)據(jù)非常符合這個(gè)標(biāo)準(zhǔn)。
七、實(shí)驗(yàn)中存在的問(wèn)題及注意事項(xiàng)
1、電解過(guò)程中用玻璃棒攪拌時(shí)應(yīng)控制速度,并且小心不要
碰到合金板,以免影響鍍膜效果。
2、從烘箱中拿出烘干的鍍板時(shí),發(fā)現(xiàn)有些“鍍層”掉在了燒杯中,其實(shí)這些“鍍層”并不是鍍上的ni,只是一些其他的雜質(zhì),并不影響結(jié)果。
第五篇:數(shù)電課程設(shè)計(jì)
題目:光控計(jì)數(shù)器
學(xué)院:核工程技術(shù)學(xué)院
專業(yè):核技術(shù)
學(xué)號(hào):09026103
姓名:史鎮(zhèn)瑋
作者(簽名):
完成日期:2011年6月日 【課題名稱】 光控計(jì)數(shù)器 【內(nèi)容摘要】
利用光線的通斷來(lái)統(tǒng)計(jì)數(shù)目的光控計(jì)數(shù)器。其主要系統(tǒng)組成為:光電轉(zhuǎn)換模塊、整形模塊、時(shí)序控制模塊、計(jì)數(shù)譯碼模塊和顯示模塊,通過(guò)對(duì)光電的轉(zhuǎn)換,由時(shí)序邏輯電路控制,達(dá)到自動(dòng)計(jì)數(shù)的功能?!驹O(shè)計(jì)內(nèi)容及要求】
本設(shè)計(jì)主要是利用光線的通斷來(lái)統(tǒng)計(jì)計(jì)數(shù)。要求設(shè)計(jì)兩路光控電路,根據(jù)光控電路產(chǎn)生觸發(fā)脈沖的先后順序,來(lái)判斷計(jì)數(shù)器進(jìn)行加或減計(jì)數(shù),要求計(jì)數(shù)器的最大計(jì)數(shù)容量為99,用數(shù)碼管顯示數(shù)字,并設(shè)置手動(dòng)復(fù)位?!驹O(shè)計(jì)原理】 1工作原理
首先由光控電路將接收的光信號(hào)轉(zhuǎn)換為電信號(hào),經(jīng)由555定時(shí)器組成的施密特觸發(fā)器整形和555定時(shí)器組成的單穩(wěn)態(tài)觸發(fā)器觸發(fā)脈沖,輸出計(jì)數(shù)脈沖信號(hào)。再通過(guò)計(jì)數(shù)器和譯碼器,在數(shù)碼顯示管上顯示數(shù)目的增加或減少,實(shí)現(xiàn)自動(dòng)計(jì)數(shù)的功能。2.整個(gè)系統(tǒng)組成
整個(gè)系統(tǒng)由五個(gè)部分組成:光控電路、觸發(fā)脈沖、加減計(jì)數(shù)、顯示譯碼和數(shù)碼顯示,其工作原理框圖如下:
3.電路圖(見(jiàn)附錄)【單元電路設(shè)計(jì)及原理】 1.光電轉(zhuǎn)換電路
光電轉(zhuǎn)換電路用于將光信號(hào)轉(zhuǎn)換為系統(tǒng)所需的電信號(hào)。由于需要進(jìn)行數(shù)目的加和減的運(yùn)算,此部分需要兩個(gè)相同的光控電路。每個(gè)電路的組成為:一個(gè)施密特觸發(fā)器和單穩(wěn)態(tài)觸發(fā)器。
當(dāng)光敏電阻處于光照時(shí),電阻阻值較低,單穩(wěn)態(tài)輸出低電平。當(dāng)遮住第一光敏電阻光時(shí),電阻升高,單穩(wěn)態(tài)觸發(fā)器輸出高,進(jìn)入暫穩(wěn)態(tài),維持1.1s 施密特觸發(fā)器:
一個(gè)555定時(shí)器芯片、R1、RG(光敏電阻),當(dāng)RG有光照時(shí),電阻下降。隨之,TH端電壓下降,輸出端3口輸出高電平。反之,RG弱光時(shí),電阻升高,TH端電壓升高,3輸出低電平。單穩(wěn)態(tài)觸發(fā)器:
用555芯片構(gòu)成單穩(wěn)觸發(fā)器,每次觸發(fā)產(chǎn)生一個(gè)1s左右的方波脈沖。當(dāng)從施密特觸發(fā)器3輸出,單穩(wěn)態(tài)觸發(fā)器2(TR)輸入高電平,3端立刻輸出低電平。隨之,當(dāng)2輸入低電平,3隨即輸出高電平。然而,當(dāng)2馬上輸入高電平時(shí),3輸出將維持一段時(shí)間,進(jìn)入暫穩(wěn)態(tài)。
維持時(shí)間:Tw=RC ln3 = 1.1s
2、時(shí)序控制電路
時(shí)序控制電路在本課題中主要用于判斷計(jì)數(shù)的增加或是減少,在此選用了一個(gè)雙D觸發(fā)器74LS74、74LS00芯片來(lái)實(shí)現(xiàn)。74LS74雙D觸發(fā)器,用于和其它芯片一起構(gòu)成時(shí)序邏輯電路。由于74LS74是上升邊沿觸發(fā)的邊沿D觸發(fā)器,電路結(jié)構(gòu)是維特—阻塞型的,所以又稱維特—阻塞觸發(fā)器。它要求控制端D的信號(hào)應(yīng)超前CP脈沖上升邊沿2Tpd1時(shí)間建立,并要求在CP脈沖觸發(fā)邊沿到來(lái)后繼續(xù)維持1Tpd1時(shí)間(此處的Tpd1是TTL門(mén)的平均傳輸延遲時(shí)間)
當(dāng)上端3輸出由低到高的電平,高電平維持1.1s,在其維持的時(shí)間段中,給下端3輸入一由低到高的電平,給D觸發(fā)器CP一上升沿。這是,D觸發(fā)器工作 Q′=D′=0,同時(shí)兩與非門(mén)的輸出由低到高變化,給了下一模塊一上升沿。
3、計(jì)數(shù)和譯碼和顯示部分
計(jì)數(shù)部分由兩片74LS191異步級(jí)聯(lián)而成。譯碼部分是由兩片74LS47來(lái)完成,顯示部分是由兩個(gè)共陰極LED數(shù)碼顯示管。用于接收計(jì)數(shù)脈沖信號(hào)并將其轉(zhuǎn)化成單獨(dú)的信號(hào)輸出并顯示。74LS191 74LS191是集成4位同步二進(jìn)制加減計(jì)數(shù)器,可執(zhí)行十六進(jìn)制加減法計(jì)數(shù)及異步置數(shù)功能??赏ㄟ^(guò)外電路連接構(gòu)成其他進(jìn)制的計(jì)數(shù)器,本課題連接成十進(jìn)制計(jì)數(shù)器。
當(dāng)14(CP)來(lái)上升沿時(shí),191(1)開(kāi)始計(jì)數(shù),分為兩種情況,U′/D輸入為0,則進(jìn)行加法計(jì)數(shù),U′/D輸入為1時(shí),進(jìn)行減法計(jì)數(shù)。
同時(shí),2和7接與非門(mén),輸出端接置數(shù)端LD′和191(2)CP,191(1)的2和7輸出1時(shí),(2)CP為0,下一狀態(tài)為1,上升沿到,進(jìn)位計(jì)數(shù)。74LS47和數(shù)碼管 兩個(gè)譯碼器和兩個(gè)數(shù)碼管來(lái)完成,用于接收計(jì)數(shù)脈沖信號(hào)并將其轉(zhuǎn)化成單獨(dú)的信號(hào)輸出并顯示。當(dāng)47譯碼器3端接地,數(shù)碼管全部顯示?!緟?shù)計(jì)數(shù)及器件選擇】
1.測(cè)量光敏電阻在無(wú)光和有光時(shí)電阻。第一個(gè),有光,Rg=5.34KΩ,無(wú)光時(shí),Rg=29.8KΩ。第二個(gè),有光,Rg=6.1KΩ,無(wú)光時(shí),Rg=34.5KΩ。根據(jù)1/3Rg(無(wú)光)≤R1≤2/3Rg(有光),選擇R1=R3=10KΩ
2.對(duì)數(shù)碼管檢測(cè)。將數(shù)碼顯示管的的3端接地,用高電平依次接到除8以外的其他端,發(fā)現(xiàn)無(wú)任何顯示。說(shuō)明使用的是共陽(yáng)極數(shù)碼顯示管,應(yīng)在3腳或8腳加電源(中間接限流電阻)。經(jīng)再次測(cè)試,數(shù)碼管顯示正常。【組裝與調(diào)試】
整個(gè)電路分為三個(gè)模塊進(jìn)行組裝調(diào)試,分析。
1、施密特觸發(fā)器與單穩(wěn)態(tài)觸發(fā)器的調(diào)試
按圖接好電路,R1選擇10KΩ,接通電源,將3端接到發(fā)光二極管。這時(shí),二極管正常發(fā)光。當(dāng)對(duì)光敏電阻進(jìn)行遮光處理,二極管為暗。第二個(gè)觸發(fā)器的調(diào)試,同上述操作,R3為10KΩ。
當(dāng)施密特觸發(fā)器正常工作時(shí),在檢測(cè)單穩(wěn)態(tài)觸發(fā)器。此時(shí)二極管接到3端,二極管為暗。當(dāng)對(duì)光敏電阻進(jìn)行遮光處理,二極管正常發(fā)光,照光后,持續(xù)1.1s。表示單穩(wěn)態(tài)觸發(fā)器調(diào)試良好。第二塊單穩(wěn)態(tài)觸發(fā)器,同上述操作。
2、雙D觸發(fā)器與與非門(mén)測(cè)試
D觸發(fā)器的1、4端輸入高電平信號(hào),檢測(cè)Q`=D`。與非門(mén)按有“0必出1,11為零”的原則檢測(cè)。檢測(cè)完成后,將其接入電路。
3、計(jì)數(shù)和譯碼和顯示部分
按圖連好電路,將第一片191芯片14端輸入單脈沖,觀察數(shù)碼管顯示結(jié)果,當(dāng)5端輸入高電平時(shí)為減法,5端輸入低電平時(shí)為加法,數(shù)碼管正常計(jì)數(shù),說(shuō)明電路正常。調(diào)試完成后,按圖將其接入總電路?!緢?bào)錯(cuò)分析】
1、計(jì)數(shù)部分調(diào)試時(shí),發(fā)現(xiàn)只有第一個(gè)數(shù)碼管計(jì)數(shù),而第二個(gè)數(shù)碼管停止不計(jì)。經(jīng)檢測(cè),第二個(gè)74LS191芯片沒(méi)有脈沖輸入。由此判斷,與非門(mén)74LS00芯片,出現(xiàn)問(wèn)題。更換后,計(jì)數(shù)正常。
2.電路連接完成后,只能做減法而不做加法。經(jīng)調(diào)試,發(fā)現(xiàn)74LS74芯片出現(xiàn)問(wèn)題。更換后,計(jì)數(shù)正常。
3.更換74LS74后,發(fā)現(xiàn)計(jì)數(shù)紊亂。與電路圖對(duì)照后,發(fā)現(xiàn),沒(méi)有將74LS74的1、4端接到高電平?!緦?shí)驗(yàn)結(jié)果】
整個(gè)模塊進(jìn)行調(diào)試,對(duì)第一模塊RG遮光,馬上對(duì)第二個(gè)RG遮光處理,數(shù)碼顯示管按預(yù)期的進(jìn)行1次加法運(yùn)算。但對(duì)第二塊RG遮光,馬上對(duì)第一塊RG遮光,則進(jìn)行2次減法運(yùn)算?!緫?yīng)用及評(píng)估】
現(xiàn)今人們?cè)谏?、學(xué)習(xí)和工作中,經(jīng)常需要對(duì)某種物品進(jìn)行數(shù)量統(tǒng)計(jì)。如進(jìn)出房間的人數(shù)統(tǒng)計(jì)。常規(guī)的機(jī)械計(jì)數(shù)和人工計(jì)數(shù)不僅麻煩,而且極為浪費(fèi)時(shí)間和資源。在學(xué)習(xí)了脈沖數(shù)字電路的基礎(chǔ)上,本課題所設(shè)計(jì)的一種利用光線的通斷來(lái)統(tǒng)計(jì)數(shù)目的光控計(jì)數(shù)器,用一種較為簡(jiǎn)單的設(shè)計(jì)解決了這一現(xiàn)實(shí)問(wèn)題。而且此產(chǎn)品簡(jiǎn)單方便,非常易于用于實(shí)際生活中,有教高的實(shí)用價(jià)值。【所需元器件】
試驗(yàn)箱(含面包板)
1塊 555定時(shí)器4片 74LS74
1片 74LS00
1片 74LS1912片 74LS47 2片 數(shù)碼管
2個(gè) 電阻:10K歐姆4個(gè) 1K歐姆 2個(gè) 電容:100μF 2個(gè) 0.01μF 4個(gè) 【心得體會(huì)】
經(jīng)過(guò)兩天的實(shí)驗(yàn),使得在課堂上學(xué)習(xí)的知識(shí)得到鞏固,同時(shí)增加了對(duì)電路的分析,連接,調(diào)試,錯(cuò)誤分析等能力,思維得到了進(jìn)一步的升華。1)做課程設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固和加強(qiáng),由于課本上的知識(shí)太多,平時(shí)課間的學(xué)習(xí)并不能很好的理解和運(yùn)用各個(gè)元件的功能,在這次課程設(shè)計(jì)過(guò)程中,我們了解了很多元件的功能,并且對(duì)于其在電路中的使用有了更多的認(rèn)識(shí)。
2)通過(guò)動(dòng)手實(shí)踐讓我們對(duì)各個(gè)元件映象深刻。認(rèn)識(shí)來(lái)源于實(shí)踐,實(shí)踐是認(rèn)識(shí)的動(dòng)力和最終目的,實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。
3)調(diào)試過(guò)程是痛苦的,調(diào)試電路是需要非常強(qiáng)的理論作為基礎(chǔ)的,但也是最能提升能力的部分,只有通過(guò)親自調(diào)試電路,發(fā)現(xiàn)電路存在的問(wèn)題,思考產(chǎn)生的原因,并想辦法解決問(wèn)題,才能加深對(duì)自己設(shè)計(jì)電路的認(rèn)識(shí)。4)此次課程設(shè)計(jì),學(xué)到了很多課內(nèi)學(xué)不到的東西,比如獨(dú)立思考解決問(wèn)題,出現(xiàn)差錯(cuò)的隨機(jī)應(yīng)變,能靜下心來(lái),不煩躁去解決問(wèn)題等都受益非淺。在整個(gè)課程設(shè)計(jì)當(dāng)中,深刻體會(huì)到設(shè)計(jì)一個(gè)電路的難度。雖然已經(jīng)有設(shè)計(jì)總電路圖,但實(shí)驗(yàn)過(guò)程中并不是一帆風(fēng)順的,期間遇到過(guò)好多問(wèn)題。但最終通過(guò)自己的能力得以解決。當(dāng)看到自己的成果時(shí),發(fā)現(xiàn)其實(shí)課程設(shè)計(jì)是很有意思的。我們需要認(rèn)真的去體會(huì)實(shí)驗(yàn)給我們帶來(lái)的樂(lè)趣??傊?,此次課程設(shè)計(jì)實(shí)驗(yàn),使我受益匪淺。【參考文獻(xiàn)】
1.閻石.《數(shù)字電子技術(shù)基礎(chǔ)》.高等教育出版社.2.管小明.《數(shù)字電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì)》.電子與機(jī)械工程學(xué)院機(jī)電基礎(chǔ)教學(xué)部
附錄
附:光控計(jì)數(shù)器電路總圖