欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      2010北郵考研網(wǎng)研院 機(jī)試題

      時(shí)間:2019-05-15 10:00:35下載本文作者:會(huì)員上傳
      簡(jiǎn)介:寫寫幫文庫(kù)小編為你整理了多篇相關(guān)的《2010北郵考研網(wǎng)研院 機(jī)試題》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫寫幫文庫(kù)還可以找到更多《2010北郵考研網(wǎng)研院 機(jī)試題》。

      第一篇:2010北郵考研網(wǎng)研院 機(jī)試題

      2010北郵考研網(wǎng)研院 機(jī)試題

      (2010-04-19 16:38:15)

      轉(zhuǎn)載 標(biāo)簽:

      北郵 網(wǎng)研 機(jī)試 雜談

      第一題:查找 輸入數(shù)組長(zhǎng)度 n 輸入數(shù)組 a[1...n] 輸入查找個(gè)數(shù)m 輸入查找數(shù)字b[1...m]

      輸出 YES or NO 查找有則YES 否則NO

      如(括號(hào)內(nèi)容為注釋)輸入: 5(數(shù)組長(zhǎng)度)1 5 2 4 3(數(shù)組)3(查找個(gè)數(shù))2 5 6(查找具體數(shù)字)輸出: YES YES NO 第二題:查找第K小數(shù)

      查找一個(gè)數(shù)組的第K小的數(shù),注意同樣大小算一樣大 如 2 1 3 4 5 2 第三小數(shù)為3

      如(括號(hào)內(nèi)容為注釋)輸入: 6(數(shù)組長(zhǎng)度n)2 1 3 5 2 2(數(shù)組)3(K 即為第三小數(shù))輸出: 3

      第三題:打牌

      牌只有1到9,手里拿著已經(jīng)排好序的牌a,對(duì)方出牌b,用程序判斷手中牌是否能夠壓過(guò)對(duì)方出牌 規(guī)則:出牌牌型有5種 [1]一張 如4 則5...9可壓過(guò)

      [2]兩張 如44 則55,66,77,...,99可壓過(guò) [3]三張 如444 規(guī)則如[2] [4]四張 如4444 規(guī)則如[2] [5]五張 牌型只有12345 23456 34567 45678 56789五個(gè),后面的比前面的均大

      壓過(guò)輸出YES 否則NO

      如(括號(hào)內(nèi)容為注釋)輸入:

      12233445566677(手中牌)33(出牌)輸出: YES

      第四題:樹(shù) 查找

      簡(jiǎn)單說(shuō)就是一棵樹(shù),輸出某一深度的所有節(jié)點(diǎn),有則輸出這些節(jié)點(diǎn),無(wú)則輸出EMPTY,具體描述得借助圖形比較好,懶得寫了,基本就是這個(gè)樣子的。

      第二篇:2010年北郵光研院《光纖通信》專業(yè)筆試題

      2010年北京郵電大學(xué)信息光子學(xué)與光通信研究院

      《光纖通信》專業(yè)筆試題

      一、填空題(共26分,每空2分)

      1、光纖傳輸特性:___,___,___。

      2、單模光纖三種色散:___, ___, ___;其中___色散導(dǎo)致單模光纖出現(xiàn)傳輸極限。(原話實(shí)在忘了,課本也沒(méi)在手里,意思表達(dá)不清楚,湊合看吧,看過(guò)書(shū)的人應(yīng)該明白的,嘿嘿)

      3、光纖通信系統(tǒng)的三大性能指標(biāo):___,___,___。

      4、給出L、Pi、Po=0,計(jì)算α損耗系數(shù)___.5、(還有一個(gè)題想不起來(lái)了,總之填空應(yīng)該拿滿分的)

      二、選擇題(共24分,每題4分)

      1、影響DWDM傳輸容量的因素有:(五個(gè)選項(xiàng),不定項(xiàng)選擇,具體選項(xiàng)忘了~)

      2、EDFA能放大那些波長(zhǎng)的光:(選項(xiàng)略)

      3、光檢測(cè)器,給出截止波長(zhǎng)hv>Eg,問(wèn)能檢測(cè)出那些波長(zhǎng)的光。

      4、單模光纖當(dāng)波長(zhǎng)大于lanmdaC(你懂的,這個(gè)希臘字母實(shí)在是找不到在哪)時(shí)截止,問(wèn)那些波長(zhǎng)的光能通過(guò)?

      5、適合DwDm傳輸?shù)臉?biāo)準(zhǔn)?(答案應(yīng)該是G.655)

      6、光接收機(jī)的性能指標(biāo)。

      三、大題(計(jì)算+簡(jiǎn)述,共50分)

      計(jì)算題:

      1、(5分)回波損耗,知Po,反射Pi,求α?

      2、(10分)畫(huà)出WDM系統(tǒng)并闡述工作原理(感覺(jué)這不是計(jì)算題,下題同)

      3、(10分)EDfA的三種泵浦結(jié)構(gòu)并作簡(jiǎn)單說(shuō)明。

      簡(jiǎn)述題:

      1、(10分)光纖傳輸特性對(duì)于光脈沖的影響。

      2、(10分)光與物質(zhì)的三種反應(yīng)過(guò)程并寫出與之相對(duì)應(yīng)的半導(dǎo)體器件。(寫到這忍不住抱怨句:這也忒簡(jiǎn)單了吧,考填空正好~)

      3、(5分)WDM中監(jiān)控信道的作用及對(duì)波長(zhǎng)的選擇。

      09題型有填空,選擇,計(jì)算,問(wèn)答。填空有四五道,選擇有四道題,諸如單模光纖中的三種色散類型,光纖通信系統(tǒng)的性能指標(biāo),損耗系數(shù)的計(jì)算,光發(fā)送機(jī)的性能指標(biāo)等等。

      計(jì)算加問(wèn)答共6道題,如下:

      1.DWDM系統(tǒng)中要求總功率不得高于17dBm,該系統(tǒng)共32個(gè)子信道,每個(gè)子信道功率相同,則每個(gè)子信道的功率不得高于多少?(記得lg2=0.3010的話,該題答案應(yīng)該是1.95dBm)

      2.EDFA的3種泵浦結(jié)構(gòu)寫出一種

      3.FTTH的實(shí)現(xiàn)方案

      4.偏振膜色散的形成原因及其對(duì)通信系統(tǒng)性能的影響

      5.抖動(dòng)性能的定義及其對(duì)系統(tǒng)的影響

      6.WDM系統(tǒng)中監(jiān)控信道的作用及其對(duì)波長(zhǎng)選擇的要求。

      第三篇:2013北郵計(jì)算機(jī)網(wǎng)研院上機(jī)題

      A 最值問(wèn)題

      Accept:210 Submit:633 Time Limit:1000MS Memory Limit:65536KB Description 給出N個(gè)數(shù),求出這N個(gè)數(shù)中最大值和次大值。注意這里的次大值必須嚴(yán)格小于最大值。輸入保證N個(gè)數(shù)中至少存在兩個(gè)不同的數(shù)。

      InputFormat 第一行為測(cè)試數(shù)據(jù)的組數(shù)T(T≤20)。請(qǐng)注意,任意兩組測(cè)試數(shù)據(jù)之間是相互獨(dú)立的。每組數(shù)據(jù)包括兩行:

      第一行為一個(gè)整數(shù)N(1≤N≤1000)。

      第二行為N個(gè)正整數(shù),每個(gè)整數(shù)均不大于106。

      OutputFormat 每組數(shù)據(jù)輸出兩個(gè)數(shù),即N個(gè)數(shù)的最大值和次大值,中間用空格隔開(kāi)。

      SampleInput 2 5 1 2 3 4 5 4 2 2 2 3 SampleOutput 5 4 3 2

      B 統(tǒng)計(jì)時(shí)間間隔

      Accept:130 Submit:1132 Time Limit:1000MS Memory Limit:65536KB Description 給出兩個(gè)時(shí)間(24小時(shí)制),求第一個(gè)時(shí)間至少要經(jīng)過(guò)多久才能到達(dá)第二個(gè)時(shí)間。給出的時(shí)間一定滿足的形式,其中x和y分別代表小時(shí)和分鐘。$0x24,0y 60。

      InputFormat 第一行為數(shù)據(jù)組數(shù)T(1≤T≤50000)。

      每組數(shù)據(jù)包括兩行,分別代表兩個(gè)時(shí)間。

      OutputFormat 每組數(shù)據(jù)輸出一行,表示最少經(jīng)過(guò)的分鐘數(shù)。SampleInput 2 7:00 7:00 7:00 8:00 SampleOutput 0 60

      C 字符串轉(zhuǎn)換

      Accept:78 Submit:471 Time Limit:1000MS Memory Limit:65536KB Description 我們將僅由若干個(gè)同一小寫字母構(gòu)成的字符串稱之為簡(jiǎn)單串,例如“aaaa”是一個(gè)簡(jiǎn)單串,而“abcd”則不是簡(jiǎn)單串?,F(xiàn)在給你一個(gè)僅由小寫字母組成的字符串,你需要用最小的花費(fèi),將其轉(zhuǎn)換成一個(gè)簡(jiǎn)單串?;ㄙM(fèi)的計(jì)算規(guī)則如下:將a到z這26個(gè)小寫字母從左到右排成一排,則每個(gè)字母都有左右兩個(gè)鄰居,我們認(rèn)為a的左鄰居是z,z的右鄰居是a。一個(gè)字母只能轉(zhuǎn)換成其相鄰的字母,轉(zhuǎn)換的花費(fèi)為1。一個(gè)字母可以進(jìn)行多次轉(zhuǎn)換,例如將a轉(zhuǎn)換成d,可以進(jìn)行如下的步驟: a->b->c->d,花費(fèi)為3。字符串的轉(zhuǎn)換花費(fèi)為所有字母轉(zhuǎn)換花費(fèi)之和。例如將字符串“abbbz”轉(zhuǎn)換成簡(jiǎn)單串的最小花費(fèi)為3,轉(zhuǎn)換后的結(jié)果為“bbbbb”。

      InputFormat 第一行一個(gè)整數(shù)T(T≤100),表示測(cè)試數(shù)據(jù)的組數(shù)。每組測(cè)試數(shù)據(jù)只有一行,為僅含小寫字母的字符串,字符串長(zhǎng)度不超過(guò)1000。

      OutputFormat 對(duì)于每一組數(shù)據(jù),輸出一個(gè)整數(shù),表示將字符串轉(zhuǎn)換成簡(jiǎn)單串的最小花費(fèi)。

      SampleInput 2 abbba abbac SampleOutput 2 3

      D 文件系統(tǒng)

      Accept:9 Submit:79 Time Limit:1000MS Memory Limit:65536KB Description 現(xiàn)在很多操作系統(tǒng)的文件系統(tǒng)都是基于樹(shù)形結(jié)構(gòu)設(shè)計(jì)的。即一個(gè)目錄下可以有若干個(gè)目錄和文件,而每個(gè)目錄和文件都可以通過(guò)一條從根目錄出發(fā)的唯一路徑來(lái)唯一確定。我們希望你實(shí)現(xiàn)對(duì)這樣的一個(gè)文件系統(tǒng)的簡(jiǎn)單管理。為了簡(jiǎn)化問(wèn)題,我們做出如下假設(shè):

      假設(shè)文件系統(tǒng)初始時(shí)只有一個(gè)根目錄root。

      假設(shè)所有出現(xiàn)的文件和目錄的名字都是唯一的。即,不會(huì)有兩個(gè)相同名字的文件出現(xiàn),不會(huì)有兩個(gè)相同名字的目錄出現(xiàn),也不會(huì)有一個(gè)文件和一個(gè)目錄名字相同。

      文件名字和目錄名字都是長(zhǎng)度在1到20之間的字符串(包括1和20),且只由英文大寫字母、英文小寫字母、數(shù)字組成。大小寫字母之間不等效。你需要實(shí)現(xiàn)如下操作:

      CREATEFILE FILENAME DIRNAME 這個(gè)操作的含義是:在DIRNAME的目錄下創(chuàng)建一個(gè)名字為FILENAME的文件。我們保證當(dāng)出現(xiàn)這個(gè)操作時(shí),DIRNAME是一個(gè)已經(jīng)存在的目錄,而FILENAME這個(gè)名字是首次出現(xiàn)。

      CREATEDIR DIRNAME1 DIRNAME2 這個(gè)操作的含義是:在DIRNAME2的目錄下創(chuàng)建一個(gè)名字為DIRNAME1的目錄。我們保證當(dāng)出現(xiàn)這個(gè)操作時(shí),DIRNAME2是一個(gè)已經(jīng)存在的目錄,而DIRNAME1這個(gè)名字是首次出現(xiàn)。

      LISTFILE DIRNAME 這個(gè)操作的含義是:按照創(chuàng)建的先后順序打印出DIRNAME目錄下的所有文件名字。我們保證當(dāng)出現(xiàn)這個(gè)操作時(shí),DIRNAME是一個(gè)已經(jīng)存在的目錄.LISTDIR DIRNAME 這個(gè)操作的含義是:按照創(chuàng)建的先后順序打印出DIRNAME目錄下的所有目錄名字。我們保證當(dāng)出現(xiàn)這個(gè)操作時(shí),DIRNAME是一個(gè)已經(jīng)存在的目錄 1.2.3.1.2.3.4.InputFormat 第一行有一個(gè)整數(shù)T,表示一共有T組數(shù)據(jù)需要你處理。請(qǐng)注意各組數(shù)據(jù)之間是相互獨(dú)立的。每當(dāng)處理新的一組數(shù)據(jù)時(shí),你都應(yīng)當(dāng)假設(shè)此時(shí)只有一個(gè)名字為root的根目錄存在。T的大小不超過(guò)20。

      對(duì)于每組數(shù)據(jù),第一行有一個(gè)整數(shù)N(0

      OutputFormat 對(duì)于每個(gè)LISTFILE操作和LISTDIR操作,如果找到了X個(gè)文件(或目錄),你需要輸出X行,按照創(chuàng)建時(shí)間從早到晚的順序,每一行打印一個(gè)文件(或目錄)的名字。如果找到了0個(gè)文件(或目錄),就不要輸出任何東西。請(qǐng)注意不要輸出多余的空格和換行符。

      SampleInput 2 8 CREATEFILE desktop root CREATEDIR DESKTOP root LISTFILE root LISTDIR DESKTOP CREATEFILE scr20130412 DESKTOP CREATEFILE scr20130411 DESKTOP CREATEFILE scr20130413 DESKTOP LISTFILE DESKTOP 5 LISTFILE root CREATEDIR webapp root CREATEDIR myweb webapp CREATEDIR MyWeb webapp LISTDIR webapp Sample Output desktop scr20130412 scr20130411 scr20130413 myweb MyWeb

      第四篇:北郵網(wǎng)院英語(yǔ)答案

      一、閱讀理解(共1道小題,共25.0分)?

      William Henry Harrison, the ninth President of the United States, was born in a small town.As a boy, he was quiet and shy.In fact, he was so quiet that everyone thought he was stupid.Because of that, the people of the town liked to play jokes on him.“Here, William,” one of them would say.“Here is a nickel(五美分硬幣), and here is a dime(十美分硬幣).Which one do you want?” He always chose the nickel, and then they all laughed at him.Finally, his mother took him aside and said to him, “I know that you are a smart boy, but sometimes you let people think you are not so smart.Why do you always choose the nickels instead of the dime? Don't you know that a dime is worth much more than a nickel?”

      “Certainly, I know it,” William answered slowly.“But if I choose the dime, they won't play the trick on me any more.Then I won’t get any more nickels.”

      William Henry Harrison was born_____.? ? ? ? in a big city on a farm in the countryside in a small town People thought he was stupid because ______.? ? ? ? he did poorly at school he was so quiet he always asked foolish questions he was afraid of others Whenever people played tricks on him, he was asked to_____.? ? ? ? laugh at himself make faces choose between a nickel and a dime tell right from wrong His mother took him aside and asked why _____.? he always chose the nickel instead of the dime ? he didn’t know that a dime was worth more than a nickel ? people played the same trick on him ? he failed to let people know how smart he was We can infer from the story that William Henry Harrison was ______.? ? ? ?

      試題分值:25.0 得分:[5, 5, 5, 5, 5] ? 提示:[1]D.細(xì)節(jié)題。本題問(wèn)“William Henry Harrison出生于______。”中心詞是“was born”,答案在第一段的第一句,該句告訴我們William出生于一個(gè)小鎮(zhèn),所以答案是D。

      [2]B.細(xì)節(jié)題。本題問(wèn)“人們認(rèn)為他非常笨,這是因?yàn)開(kāi)______?!敝行脑~是“thought he was stupid”,答案在第一段的最后一句,該句大意是“當(dāng)他還是個(gè)孩子的時(shí)候,他不喜歡說(shuō)話而且很害羞。實(shí)際上,他是如此的安靜以至于別人都認(rèn)為他很笨”。據(jù)此可知答案是B。[3]C.細(xì)節(jié)題。本題問(wèn)“每當(dāng)人們想和他開(kāi)玩笑的時(shí)候,人們就讓他_______?!敝行脑~是“played tricks on him”,答案在第二段和第三段,只不過(guò)第二段中出現(xiàn)的是“play jokes on him”,這兩個(gè)詞組意思相近。第二段大意是“就因?yàn)檫@個(gè),所以人們喜歡開(kāi)他的玩笑。”第三段的大意是“有人會(huì)說(shuō):‘過(guò)來(lái),William。這里有一個(gè)5美分硬幣和一個(gè)10美分的硬幣。你想選擇哪一個(gè)?’”根據(jù)這兩段,我們可以知道答案是C。[4]A.細(xì)節(jié)題。本題問(wèn)“他媽媽將他帶到一旁問(wèn)他為什么_______?!贝鸢冈诘谖宥危摱未笠馐恰白詈?,他媽媽將他帶到一旁對(duì)他說(shuō)道:‘我知道你是個(gè)聰明的孩子,但是有些時(shí)候你讓別人覺(jué)得你不是那么聰明。為什么你總是選擇5美分的硬幣而不選擇10美分的呢?難道你不知道10美分的硬幣比5美分的值錢嗎?’”據(jù)此可知答案是A。

      [5]D.推斷題。本題問(wèn)“從故事中我們可以推測(cè)出William Henry Harrison是______。”答案在最后一段,該段大意是“William慢慢地回答道:‘我當(dāng)然知道。但是,如果我選擇了10美分的硬幣,他們就不會(huì)再和我開(kāi)玩笑了。這樣的話,我就再也得不到5美分的硬幣了?!睋?jù)此可知答案是D(聰明的)。

      二、單項(xiàng)選擇題(共15道小題,共75.0分)?

      Many educators fear that an increase in the use of state exams will ___________a corresponding rise in cheating.? lead at ? result foolish naughty silent clever ? lead to ? consequent 知識(shí)第一部分

      點(diǎn): 學(xué)生答[C;] 案:

      標(biāo)準(zhǔn)答

      C;

      案: 試題分得分: [5] 5.0

      值: 該題選C,題目大意是“很多教育學(xué)家擔(dān)心,更多地利用州級(jí)考試將會(huì)導(dǎo)致作弊的相應(yīng)增加?!?/p>

      提示: lead to:導(dǎo)致

      Smoking can lead to lung cancer.抽煙可能導(dǎo)致肺癌。

      What if my auto-repair man devised questions ___________an intelligence test? ? ? ? ? with for to at

      標(biāo)準(zhǔn)答

      B;

      案: 試題分得分: [5] 5.0

      值: 該題選B,題目大意是“假定讓我的汽車修理師來(lái)為智力測(cè)驗(yàn)設(shè)計(jì)題目將會(huì)怎么樣?” what if:如果??將會(huì)怎么樣

      1.What if it is true? 如果這是真的又該如提示: 何呢?

      2.What if he doesn′t agree? 如果他不同意該怎么辦呢?

      3.What if he comes back now? 如果他現(xiàn)在回來(lái)怎么辦?

      In the test I scored 160 ___________a normal of 100.? at ? with ? against 知識(shí)第一部分

      點(diǎn): 學(xué)生答[B;] 案: ? in 知識(shí)第一部分

      點(diǎn): 學(xué)生答[C;] 案:

      標(biāo)準(zhǔn)答

      C;

      案: 試題分得分: [5] 5.0

      值: 該題選C,題目大意是“在標(biāo)準(zhǔn)得分為100的情況下,我得了160分?!?score:及物動(dòng)詞 vt.1.(體育比賽中)得(分),記(分);使得分

      提示: He only scored nine hundred marks.他只得九百分。

      2.(考試等中)得(分)Mary scored the highest marks on the exam.瑪麗考試中得了個(gè)最高分。

      Praise is particularly appreciated by those doing ___________ jobs.? ? ? ? routine rural racial rude

      標(biāo)準(zhǔn)答

      A;

      案: 試題分得分: [5] 5.0

      值: 該題選A,題目大意是“那些從事例行工作的人們特別懂得贊揚(yáng)的意義?!?/p>

      routine:形容詞 a.日常的,例行的,常規(guī)的

      提示: 1.a routine medical examination 常規(guī)體格檢查

      2.Routine office jobs have no relish at all for me.我對(duì)坐辦公室那種刻板的工作毫無(wú)興趣。

      I can live for two months ___________a good compliment.? by ? with ? on 知識(shí)第一部分

      點(diǎn): 學(xué)生答[A;] 案: ? at 知識(shí)第二部分

      點(diǎn): 學(xué)生答[C;] 案:

      標(biāo)準(zhǔn)答

      C;

      案: 試題分得分: [5] 5.0

      值: 該題選C,題目大意是“一句贊美的話我就可以活上兩個(gè)月?!?/p>

      live on:靠吃---生活,靠---維持生活

      提示: 1.live on wild plants靠吃野菜生活

      2.A panda lives on bamboo leaves.熊貓以吃竹葉為生。

      Students in the last century read stories that taught ________ lessons.? ? ? ? moral more mortal morale

      標(biāo)準(zhǔn)答

      A;

      案: 試題分得分: [5] 5.0

      值: 該題選A,題目大意是“上個(gè)世紀(jì)的學(xué)生讀的都是些傳授道德標(biāo)準(zhǔn)的故事?!?moral: 形容詞 a.1.道德(上)的

      提示: He refused the request on moral grounds.基于道德上的考慮,他拒絕了這個(gè)請(qǐng)求。2.講道德的,品性端正的

      My grandmother was a very moral woman;she′d never allow cursing.我祖母是很講究道德的人;她從不允許詛咒。

      His former secretary ________ him with sexual harassment.? blamed ? criticized 知識(shí)第二部分

      點(diǎn): 學(xué)生答[A;] 案: ? accused ? charged 知識(shí)第二部分

      點(diǎn): 學(xué)生答[D;] 案:

      標(biāo)準(zhǔn)答

      D;

      案: 試題分得分: [5] 5.0

      值: 該題選D,題目大意是“他從前的女秘書(shū)指控他性騷擾?!?/p>

      charge:及物動(dòng)詞 vt.控告,指控

      提示: 1.He was charged with stealing.他被控犯有偷竊行為。

      2.The police charged him with car theft.警方以偷車罪指控他。

      Six ________ ten people in Beijing have seen the movie Titanic.? ? ? ? out out off out of out to

      標(biāo)準(zhǔn)答

      C;

      案: 試題分得分: [5] 5.0

      值: 該題選C,題目大意是“在北京,十個(gè)人中有六個(gè)人已經(jīng)看了電影《泰坦尼克號(hào)》”

      提示: out of:from among其中,??之中

      One person out of five the world is Chinese.世界五分之一的人是中國(guó)人。

      All but Alice and I ________ going to the theatre this evening.? ? ? ?

      知are be am is 知識(shí)第二部分

      點(diǎn): 學(xué)生答[C;] 案: 識(shí)第二部分

      標(biāo)準(zhǔn)答

      A;

      案: 試題分得分: [5] 5.0

      值: 該題選A,題目大意是“今晚除了愛(ài)麗絲和我,提示: 大家都去看戲了?!?/p>

      Everything _________ except salaries.? ? ? ? went went up went to went from 點(diǎn): 學(xué)生答[A;] 案:

      標(biāo)準(zhǔn)答

      B;

      案: 試題分得分: [5] 5.0

      值: 該題選B,題目大意是“除了工資之外,所有都在漲價(jià)?!?/p>

      go up:rise;increase上升;增加

      提示: 1.I see cigarettes are going up again.我發(fā)現(xiàn)香煙又漲價(jià)了。

      2.Crime rate tends to go up if the economy collapses.經(jīng)濟(jì)崩潰的時(shí)候犯罪率常上升。

      The boy ___________ at the dinner party last Sunday and was sick.? ? ? ? overweight overload overate over and ate 知識(shí)第二部分

      點(diǎn): 學(xué)生答[B;] 案: 知識(shí)第二部分

      點(diǎn): 學(xué)生答[C;] 案:

      標(biāo)準(zhǔn)答

      C;

      案: 試題分得分: [5] 5.0

      值: 提示: 該題選C,題目大意是“男孩在上周日的晚宴上吃得過(guò)飽,于是病倒了?!?/p>

      overeat:暴食;吃得過(guò)量;吃撐

      1.He is advised not to overeat.有人勸他不要吃得太多。

      2.And skipping meals tends to make you overeat later.不吃飯會(huì)讓你有暴食的傾向。3.Generally, you shouldn′t overeat yourself before sleeping.一般說(shuō)來(lái)睡覺(jué)之前你不能吃得過(guò)飽。

      We all thought _________ a pity that you were unable to watch the game.? ? ? ? it which that what

      標(biāo)準(zhǔn)答

      A;

      案: 試題分得分: [5] 5.0

      值: 該題選A,題目大意是“你沒(méi)能觀看這場(chǎng)比賽,我們認(rèn)為很遺憾?!?/p>

      英語(yǔ)中會(huì)出現(xiàn)用it 作形式賓語(yǔ),放在動(dòng)詞后面,而將真正的賓語(yǔ),賓語(yǔ)從句或作賓語(yǔ)的不定式置于句末的情況。又如:

      1.People find it more and more difficult to get a job nowadays.人們發(fā)現(xiàn)當(dāng)下找工作越來(lái)提示: 越難了。(不定式作賓語(yǔ))

      2.We all thought it a pity that you were unable to attend the party.我們?yōu)槟悴荒軈⒓舆@次聚會(huì),而深感遺憾。(從句作賓語(yǔ))

      3.I regard it as important that everyone of us should be punctual.我們每個(gè)人都必須嚴(yán)格守時(shí),我認(rèn)為這一點(diǎn)很重要。(從句作賓語(yǔ))

      _______ exchanges are an important part of cultural exchanges between two countries.? Previous ? Uneasy ? Academic 知識(shí)第二部分

      點(diǎn): 學(xué)生答[A;] 案: ? Divine 知識(shí)第二部分

      點(diǎn): 學(xué)生答[C;] 案:

      標(biāo)準(zhǔn)答

      C;

      案: 試題分得分: [5] 5.0

      值: 該題選C,題目大意是“學(xué)術(shù)交流是這兩個(gè)國(guó)家文化交流的重要部分?!?/p>

      academic:學(xué)院的;學(xué)術(shù)的;理論的

      1.With my strong academic background, I am 提示: competent.依我良好的學(xué)術(shù)背景,我能勝任。

      2.During the past four years I have had a good deal of academic experience in communications technology.四年來(lái),我掌握了豐富的通信技術(shù)上的理論知識(shí)。

      If you give up music, you’ll waste your _______.? ? ? ? comment talent diploma intent

      標(biāo)準(zhǔn)答

      B;

      案: 試題分得分: [5] 5.0

      值: 該題選B,題目大意是“如果你放棄音樂(lè),你就是浪費(fèi)了你的天賦?!?/p>

      提示: talent:名詞 n.天才,天資

      He had a talent for music.他有音樂(lè)天才。

      Shops should not _______ defective goods on customers.? ? ? ?

      知foster forge forsake foist 知識(shí)第二部分

      點(diǎn): 學(xué)生答[B;] 案: 識(shí)第二部分 點(diǎn): 學(xué)生答[D;] 案:

      標(biāo)準(zhǔn)答

      D;

      案: 試題分得分: [5] 5.0

      值: 該題選D,題目大意是“商店不應(yīng)該把劣質(zhì)商品推銷給顧客?!?foist ? to:騙售

      提示: The shopkeeper foisted a box of broken biscuits on the old lady.店主把一箱子碎餅干騙售給了那老婦人。

      第五篇:北郵電子院專業(yè)實(shí)驗(yàn)報(bào)告

      電子工程學(xué)院

      ASIC專業(yè)實(shí)驗(yàn)報(bào)告

      班級(jí): 姓名:

      學(xué)號(hào): 班內(nèi)序號(hào):

      第一部分 語(yǔ)言級(jí)仿真

      LAB 1:簡(jiǎn)單的組合邏輯設(shè)計(jì)一、二、實(shí)驗(yàn)?zāi)康?實(shí)驗(yàn)原理 掌握基本組合邏輯電路的實(shí)現(xiàn)方法。

      本實(shí)驗(yàn)中描述的是一個(gè)可綜合的二選一開(kāi)關(guān),它的功能是當(dāng)sel = 0時(shí),給出out = a,否則給出結(jié)果out = b。在Verilog HDL中,描述組合邏輯時(shí)常使用assign結(jié)構(gòu)。equal=(a==b)?1:0是一種在組合邏輯實(shí)現(xiàn)分支判斷時(shí)常用的格式。parameter定義的size參數(shù)決定位寬。測(cè)試模塊用于檢測(cè)模塊設(shè)計(jì)的是否正確,它給出模塊的輸入信號(hào),觀察模塊的內(nèi)部信號(hào)和輸出信號(hào)。

      三、源代碼

      mux.v module scale_mux(out,sel,b,a);parameter size=1;output[size-1:0] out;input[size-1:0]b,a;input sel;assign out =(!sel)?a:

      (sel)?b:

      {size{1'bx}};endmodule

      mux_test.v `define width 8 `timescale 1 ns/1 ns module mux_test;

      reg[`width:1]a,b;

      wire[`width:1]out;

      reg sel;

      scale_mux#(`width)m1(.out(out),.sel(sel),.b(b),.a(a));

      initial

      begin

      $monitor($stime,“sel=%b a=%b b=%b out=%b”,sel,a,b,out);

      $dumpvars(2,mux_test);

      sel=0;b={`width{1'b0}};a={`width{1'b1}};

      #5sel=0;b={`width{1'b1}};a={`width{1'b0}};

      #5sel=1;b={`width{1'b0}};a={`width{1'b1}};

      #5sel=1;b={`width{1'b1}};a={`width{1'b0}};

      #5 $finish;

      end endmodule

      四、仿真結(jié)果與波形

      LAB 2:簡(jiǎn)單時(shí)序邏輯電路的設(shè)計(jì)一、二、實(shí)驗(yàn)?zāi)康?實(shí)驗(yàn)原理 掌握基本時(shí)序邏輯電路的實(shí)現(xiàn)。

      在Verilog HDL中,相對(duì)于組合邏輯電路,時(shí)序邏輯電路也有規(guī)定的表述方式。在可綜合的Verilog HDL模型中,我們常使用always塊和@(posedge clk)或@(negedge clk)的結(jié)構(gòu)來(lái)表述時(shí)序邏輯。

      在always塊中,被賦值的信號(hào)都必須定義為reg型,這是由時(shí)序邏輯電路的特點(diǎn)所決定的對(duì)于reg型數(shù)據(jù),如果未對(duì)它進(jìn)行賦值,仿真工具會(huì)認(rèn)為它是不定態(tài)。為了正確地觀察到仿真結(jié)果,在可綜合的模塊中我們通常定義一個(gè)復(fù)位信號(hào)rst-,當(dāng)它為低電平時(shí)對(duì)電路中的寄存器進(jìn)行復(fù)位。

      三、源代碼

      counter.v `timescale 1 ns/100 ps module counter(cnt,clk,data,rst_,load);output[4:0]cnt;input [4:0]data;input

      clk;input

      rst_;input

      load;reg

      [4:0]cnt;

      always@(posedge clk or negedge rst_)

      if(!rst_)

      #1.2 cnt<=0;

      else

      if(load)

      cnt<=#3 data;

      else

      cnt<=#4 cnt + 1;

      endmodule

      counter_test.v `timescale 1 ns/1 ns module counter_test;

      wire[4:0]cnt;

      reg [4:0]data;

      reg

      rst_;

      reg

      load;

      reg

      clk;

      counter c1

      (.cnt(cnt),.clk(clk),.data(data),.rst_(rst_),.load(load));

      initial begin

      clk=0;

      forever begin

      #10 clk=1'b1;

      #10 clk=1'b0;

      end

      end

      initial begin

      $timeformat(-9,1,“ns”,9);

      $monitor(“time=%t,data=%h,clk=%b,rst_=%b,load=%b,cnt=%b”,$stime,data,clk,rst_,load,cnt);

      $dumpvars(2,counter_test);

      end task expect;input [4:0]expects;

      if(cnt!==expects)begin

      $display(“At time %t cnt is %b and should be %b”,$time,cnt,expects);

      $display(“TEST FAILED”);

      $finish;

      end endtask initial begin

      @(negedge clk)

      {rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);

      {rst_,load,data}=7'b1_1_11101;@(negedge clk)expect(5'h1D);

      {rst_,load,data}=7'b1_0_11101;

      repeat(5)@(negedge clk);

      expect(5'h02);

      {rst_,load,data}=7'b1_1_11111;@(negedge clk)expect(5'h1F);

      {rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);

      $display(“TEST PASSED”);

      $finish;

      end endmodule

      四、仿真結(jié)果與波形

      五、思考題

      該電路中,rst-是同步還是異步清零端?

      在counter.v的always塊中reset沒(méi)有等時(shí)鐘,而是直接清零。所以是異步清零端。

      LAB 3:簡(jiǎn)單時(shí)序邏輯電路的設(shè)計(jì)一、二、實(shí)驗(yàn)?zāi)康?實(shí)驗(yàn)原理 使用預(yù)定義的庫(kù)元件來(lái)設(shè)計(jì)八位寄存器。

      八位寄存器中,每一位寄存器由一個(gè)二選一MUX和一個(gè)觸發(fā)器dffr組成,當(dāng)load=1,裝載數(shù)據(jù);當(dāng)load=0,寄存器保持。對(duì)于處理重復(fù)的電路,可用數(shù)組條用的方式,使電路描述清晰、簡(jiǎn)潔。

      三、源代碼

      clock.v `timescale 1 ns /1 ns module clock(clk);reg clk;output clk;initial begin clk=0;forever begin #10 clk=1'b1;#10 clk=1'b0;end end endmodule

      mux及dffr模塊調(diào)用代碼

      mux mux7(.out(n1[7]),.sel(load),.b(data[7]),.a(out[7]));dffr dffr7(.q(out[7]),.d(n1[7]),.clk(clk),.rst_(rst_));mux mux6(.out(n1[6]),.sel(load),.b(data[6]),.a(out[6]));dffr dffr6(.q(out[6]),.d(n1[6]),.clk(clk),.rst_(rst_));mux mux5(.out(n1[5]),.sel(load),.b(data[5]),.a(out[5]));dffr dffr5(.q(out[5]),.d(n1[5]),.clk(clk),.rst_(rst_));mux mux4(.out(n1[4]),.sel(load),.b(data[4]),.a(out[4]));dffr dffr4(.q(out[4]),.d(n1[4]),.clk(clk),.rst_(rst_));

      mux mux3(.out(n1[3]),.sel(load),.b(data[3]),.a(out[3]));dffr dffr3(.q(out[3]),.d(n1[3]),.clk(clk),.rst_(rst_));mux mux2(.out(n1[2]),.sel(load),.b(data[2]),.a(out[2]));dffr dffr2(.q(out[2]),.d(n1[2]),.clk(clk),.rst_(rst_));mux mux1(.out(n1[1]),.sel(load),.b(data[1]),.a(out[1]));dffr dffr1(.q(out[1]),.d(n1[1]),.clk(clk),.rst_(rst_));mux mux0(.out(n1[0]),.sel(load),.b(data[0]),.a(out[0]));dffr dffr0(.q(out[0]),.d(n1[0]),.clk(clk),.rst_(rst_));

      例化寄存器

      register r1(.data(data),.out(out),.load(load),.clk(clk),.rst_(rst_));例化時(shí)鐘

      clock c1(.clk(clk));

      添加檢測(cè)信號(hào) initial begin $timeformat(-9,1,“ns”,9);$monitor(“time=%t,clk=%b,data=%h,load=%b,out=%h”, $stime,clk,data,load,out);$dumpvars(2,register_test);end

      四、仿真結(jié)果與波形

      LAB 4:用always塊實(shí)現(xiàn)較復(fù)雜的組合邏輯電路

      一、實(shí)驗(yàn)?zāi)康?/p>

      掌握用always實(shí)現(xiàn)組合邏輯電路的方法;

      了解assign與always兩種組合邏輯電路實(shí)現(xiàn)方法之間的區(qū)別。

      二、實(shí)驗(yàn)原理

      僅使用assign結(jié)構(gòu)來(lái)實(shí)現(xiàn)組合邏輯電路,在設(shè)計(jì)中會(huì)發(fā)現(xiàn)很多地方顯得冗長(zhǎng)且效率低下。適當(dāng)?shù)厥褂胊lways來(lái)設(shè)計(jì)組合邏輯,會(huì)更具實(shí)效。

      本實(shí)驗(yàn)描述的是一個(gè)簡(jiǎn)單的ALU指令譯碼電路的設(shè)計(jì)示例。它通過(guò)對(duì)指令的判斷,對(duì)輸入數(shù)據(jù)執(zhí)行相應(yīng)的操作,包括加、減、或和傳數(shù)據(jù),并且無(wú)論是指令作用的數(shù)據(jù)還是指令本身發(fā)生變化,結(jié)果都要做出及時(shí)的反應(yīng)。

      示例中使用了電平敏感的always塊,電平敏感的觸發(fā)條件是指在@后括號(hào)內(nèi)電平列表的任何一個(gè)電平發(fā)生變化就能觸發(fā)always塊的動(dòng)作,并且運(yùn)用了case結(jié)構(gòu)來(lái)進(jìn)行分支判斷。

      在always中適當(dāng)運(yùn)用default(在case結(jié)構(gòu)中)和else(子if…else結(jié)構(gòu)中),通??梢跃C合為純組合邏輯,盡管被賦值的變量一定要定義為reg型。如果不使用default或else對(duì)缺省項(xiàng)進(jìn)行說(shuō)明,易產(chǎn)生意想不到的鎖存器。

      三、源代碼

      電路描述

      always@(opcode or data or accum)begin if(accum==8'b00000000)#1.2 zero=1;else #1.2 zero=0;

      case(opcode)PASS0: #3.5 out =accum;PASS1: #3.5 out =accum;ADD: #3.5 out = data + accum;AND: #3.5 out =data&accum;XOR: #3.5 out =data^accum;PASSD: #3.5 out=data;PASS6:#3.5 out=accum;PASS7:#3.5 out=accum;default:#3.5 out=8'bx;endcase end

      四、仿真結(jié)果與波形

      LAB 5:存儲(chǔ)器電路的設(shè)計(jì)一、二、實(shí)驗(yàn)?zāi)康?實(shí)驗(yàn)原理 設(shè)計(jì)和測(cè)試存儲(chǔ)器電路。

      本實(shí)驗(yàn)中,設(shè)計(jì)一個(gè)模塊名為mem的存儲(chǔ)器仿真模型,該存儲(chǔ)器具有雙線數(shù)據(jù)總線及異步處理功能。由于數(shù)據(jù)是雙向的,所以要注意,對(duì)memory的讀寫在時(shí)序上要錯(cuò)開(kāi)。

      三、源代碼

      自行添加的代碼

      assign data=(read)?memory[addr]:8'hZ;

      always @(posedge write)begin memory[addr]<=data[7:0];end

      四、仿真結(jié)果與波形

      LAB 6:設(shè)計(jì)時(shí)序邏輯時(shí)采用阻塞賦值與非阻塞賦值的區(qū)別

      一、實(shí)驗(yàn)?zāi)康?/p>

      明確掌握阻塞賦值與非阻塞賦值的概念和區(qū)別; 了解阻塞賦值的使用情況。

      二、實(shí)驗(yàn)原理

      在always塊中,阻塞賦值可以理解為賦值語(yǔ)句是順序執(zhí)行的,而非阻塞賦值可以理解為并發(fā)執(zhí)行的。實(shí)際時(shí)序邏輯設(shè)計(jì)中,一般情況下非阻塞賦值語(yǔ)句被更多的使用,有時(shí)為了在同一周期實(shí)現(xiàn)相互關(guān)聯(lián)的操作,也使用阻塞賦值語(yǔ)句。

      三、源代碼

      blocking.v `timescale 1 ns/ 100 ps

      module blocking(clk,a,b,c);

      output[3:0]b,c;

      input [3:0]a;

      input

      clk;

      reg

      [3:0]b,c;

      always@(posedge clk)

      begin

      b =a;

      c =b;

      $display(“Blocking: a=%d,b=%d,c=%d.”,a,b,c);

      end endmodule

      non_blocking.v `timescale 1 ns/ 100 ps module non_blocking(clk,a,b,c);

      output[3:0] b,c;input[3:0] a;input clk;reg [3:0]b,c;always @(posedge clk)begin b<=a;c<=b;$display(“Non_blocking:a=%d,b=%d,c=%d”,a,b,c);end endmodule compareTop.v `timescale 1 ns/ 100 ps module compareTop;wire [3:0] b1,c1,b2,c2;reg[3:0]a;reg clk;initial begin clk=0;forever #50 clk=~clk;end initial $dumpvars(2,compareTop);initial begin a=4'h3;$display(“_______________________________”);# 100 a =4'h7;$display(“_______________________________”);# 100 a =4'hf;$display(“_______________________________”);# 100 a =4'ha;$display(“_______________________________”);# 100 a =4'h2;$display(“_______________________________”);# 100 $display(“_______________________________”);$finish;end non_blocking nonblocking(clk,a,b2,c2);blocking blocking(clk,a,b1,c1);endmodule

      四、仿真結(jié)果與波形

      LAB 7:利用有限狀態(tài)機(jī)進(jìn)行復(fù)雜時(shí)序邏輯的設(shè)計(jì)一、二、實(shí)驗(yàn)?zāi)康?實(shí)驗(yàn)原理 掌握利用有限狀態(tài)機(jī)(FSM)實(shí)現(xiàn)復(fù)雜時(shí)序邏輯的方法。

      控制器是CPU的控制核心,用于產(chǎn)生一系列的控制信號(hào),啟動(dòng)或停止某些部件。CPU何時(shí)進(jìn)行讀指令,何時(shí)進(jìn)行RAM和I/O端口的讀寫操作等,都由控制器來(lái)控制。

      三、源代碼

      補(bǔ)充代碼

      nexstate<=state+1'h01;case(state)1:begin sel=1;rd=0;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 2:begin sel=1;rd=1;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 3:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 4:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 5:begin sel=0;rd=0;ld_ir=0;inc_pc=1;ld_pc=0;data_e=0;ld_ac=0;wr=0;if(opcode==`HLT)halt=1;end 6:begin sel=0;rd=alu_op;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 7:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=0;wr=0;if(opcode==`SKZ)inc_pc<=zero;if(opcode==`JMP)ld_pc=1;end 0:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=alu_op;inc_pc=(opcode==`SKZ)&zero||(opcode==`JMP);if(opcode==`JMP)ld_pc=1;if(opcode==`STO)wr=1;end //default:begin sel=1'bZ;rd=1'bZ;ld_ir=1'bZ;inc_pc=1'bZ;halt=1'bZ;ld_pc=1'bZ;data_e=1'bZ;ld_ac=1'bZ;wr=1'bZ;end endcase end

      control_test.v /***************************** * TEST BENCH FOR CONTROLLER * *****************************/

      `timescale 1 ns / 1 ns

      module control_test;

      reg [8:0] response [0:127];

      reg [3:0] stimulus [0:15];

      reg [2:0] opcode;

      reg

      clk;

      reg

      rst_;

      reg

      zero;

      integer

      i,j;

      reg[(3*8):1] mnemonic;

      // Instantiate controller

      control c1(rd , wr , ld_ir , ld_ac , ld_pc , inc_pc , halt , data_e , sel , opcode , zero , clk , rst_);

      // Define clock

      initial begin

      clk = 1;

      forever begin

      #10 clk = 0;

      #10 clk = 1;

      end

      end

      // Generate mnemonic for debugging purposes

      always @(opcode)

      begin

      case(opcode)

      3'h0

      : mnemonic = “HLT”;

      3'h1

      : mnemonic = “SKZ”;

      3'h2

      : mnemonic = “ADD”;

      3'h3

      : mnemonic = “AND”;

      3'h4

      : mnemonic = “XOR”;

      3'h5

      : mnemonic = “LDA”;

      3'h6

      : mnemonic = “STO”;

      3'h7

      : mnemonic = “JMP”;

      default : mnemonic = “???”;

      endcase

      end

      // Monitor signals

      initial

      begin

      $timeformat(-9, 1, “ ns”, 9);

      $display(“ time

      rd wr ld_ir ld_ac ld_pc inc_pc halt data_e sel opcode zero state”);

      $display(“--------------------------------------------------------------”);//

      $shm_open(“waves.shm”);//

      $shm_probe(“A”);//

      $shm_probe(c1.state);

      end

      // Apply stimulus

      initial

      begin

      $readmemb(“stimulus.pat”, stimulus);

      rst_=1;

      @(negedge clk)rst_ = 0;

      @(negedge clk)rst_ = 1;

      for(i=0;i<=15;i=i+1)

      @(posedge ld_ir)

      @(negedge clk)

      { opcode, zero } = stimulus[i];

      end

      // Check response

      initial

      begin

      $readmemb(“response.pat”, response);

      @(posedge rst_)

      for(j=0;j<=127;j=j+1)

      @(negedge clk)

      begin

      $display(“%t %b %b %b

      %b

      %b

      %b

      %b

      %b %b

      %b

      %b”,$time,rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel,opcode,zero,c1.state);

      if({rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel}!==

      response[j])

      begin : blk

      reg [8:0] r;

      r = response[j];

      $display("ERRORTEST1 PASSED!

      111_00000

      // 18

      JMP BEGIN //run test again

      @1A 00000000

      // 1A

      DATA_1:

      //constant 00(hex)

      11111111

      // 1B

      DATA_2:

      //constant FF(hex)

      10101010

      // 1C

      TEMP:

      //variableTEST2 PASSED!

      111_00000

      // 11

      JMP BEGIN

      //run test again

      @1A 00000001

      // 1A

      DATA_1:

      //constant 1(hex)

      10101010

      // 1B

      DATA_2:

      //constant AA(hex)

      11111111

      // 1C

      DATA_3:

      //constant FF(hex)

      00000000

      // 1D

      TEMP:

      CPUtest3.dat //opcode_operand // addr

      assembly code //--------------//-------------------------

      111_00011

      // 00

      JMP LOOP

      //jump to the address of LOOP @03 101_11011

      // 03

      LOOP:

      LDA FN2

      //load value in FN2 into accum

      110_11100

      // 04

      STO TEMP

      //store accumulator in TEMP

      010_11010

      // 05

      ADD FN1

      //add value in FN1 to accumulator

      110_11011

      // 06

      STO FN2

      //store result in FN2

      101_11100

      // 07

      LDA TEMP

      //load TEMP into the accumulator

      110_11010

      // 08

      STO FN1

      //store accumulator in FN1

      100_11101

      // 09

      XOR LIMIT //compare accumulator to LIMIT

      001_00000

      // 0A

      SKZ

      //if accum = 0, skip to DONE

      111_00011

      // 0B

      JMP LOOP

      //jump to address of LOOP

      000_00000

      // 0C

      DONE:

      HLT

      //end of program

      101_11111

      // 0D

      AGAIN: LDA ONE

      110_11010

      // 0E

      STO FN1

      101_11110

      // 0F

      LDA ZERO

      110_11011

      // 10

      STO FN2

      111_00011

      // 11

      JMP LOOP

      //jump to address of LOOP

      @1A 00000001

      // 1A

      FN1:

      //variablestores 2nd Fib.No.00000000

      // 1C

      TEMP:

      //temporary variable

      10010000

      // 1D

      LIMIT:

      //constant 144stores 1st Fib.No.00000101

      // 1B

      data2:

      //5

      variablemax value

      00000110

      // 1E

      LIMIT:

      // 6

      constant 1

      11111111

      // 1F

      AND1:

      //FF and

      四、仿真結(jié)果與波形

      第二部分 電路綜合一、二、三、四、實(shí)驗(yàn)?zāi)康?實(shí)驗(yàn)內(nèi)容 源代碼

      門級(jí)電路仿真結(jié)果與波形 掌握邏輯綜合的概念和流程,熟悉采用Design Compiler進(jìn)行邏輯綜合的基本方法。采用SYNOPSYS公司的綜合工具Design Compiler對(duì)實(shí)驗(yàn)7的control.v做綜合。與實(shí)驗(yàn)指導(dǎo)書(shū)中相同。

      五、思考題

      1.control_pad.v文件是verilog語(yǔ)言及的描述還是結(jié)構(gòu)化的描述?

      是結(jié)構(gòu)化的描述。

      2.control_pad.sdf文件中,對(duì)觸發(fā)器的延遲包括哪些信息?

      包括對(duì)邏輯單元和管腳的上升/下降時(shí)延的最大值、最小值和典型值。

      第三部分 版圖設(shè)計(jì)一、二、三、四、實(shí)驗(yàn)?zāi)康?實(shí)驗(yàn)內(nèi)容 源代碼

      仿真結(jié)果與波形 掌握版圖設(shè)計(jì)的基本概念和流程,熟悉采用Sysnopsys ICC工具進(jìn)行版圖設(shè)計(jì)的方法。對(duì)電路綜合輸出的門級(jí)網(wǎng)表control_pad.v進(jìn)行布局布線。與實(shí)驗(yàn)指導(dǎo)書(shū)中相同。布局規(guī)劃后結(jié)果

      未產(chǎn)生core ring和mesh前

      產(chǎn)生core ring和mesh后

      電源線和電影PAD連接后

      filler PAD填充后

      布局后結(jié)果

      時(shí)鐘樹(shù)綜合后結(jié)果

      布線后結(jié)果

      寄生參數(shù)的導(dǎo)出和后仿

      五、思考題

      1.簡(jiǎn)述ICC在design setup階段的主要工作。

      創(chuàng)建設(shè)計(jì)庫(kù),讀取網(wǎng)表文件并創(chuàng)建設(shè)計(jì)單元,提供并檢查時(shí)間約束,檢查時(shí)鐘。在對(duì)之前的數(shù)據(jù)與信息進(jìn)行讀取與檢查后保存設(shè)計(jì)單元。2.為什么要填充filler pad?

      filler pad把分散的pad單元連接起來(lái),把pad I/O區(qū)域供電連成一個(gè)整體。使它們得到持續(xù)供電并提高ESD保護(hù)能力。3.derive_pg_connection的作用是什么?

      描述有關(guān)電源連接的信息。4.簡(jiǎn)述floorplan的主要任務(wù)。

      對(duì)芯片大小、輸入輸出單元、宏模塊進(jìn)行規(guī)劃,對(duì)電源網(wǎng)絡(luò)進(jìn)行設(shè)計(jì)。5.簡(jiǎn)述place階段的主要任務(wù)。

      對(duì)電路中的延時(shí)進(jìn)行估計(jì)與分析,模擬時(shí)鐘樹(shù)的影響,按照時(shí)序要求,對(duì)標(biāo)準(zhǔn)化單元進(jìn)行布局。

      6.簡(jiǎn)述CTS的主要步驟。

      設(shè)置時(shí)鐘樹(shù)公共選項(xiàng);綜合時(shí)鐘樹(shù);重新連接掃描鏈;使能傳播時(shí)鐘;Post-CTS布局優(yōu)化;優(yōu)化時(shí)鐘偏移;優(yōu)化時(shí)序。

      實(shí)驗(yàn)總結(jié)

      經(jīng)過(guò)數(shù)周的ASIC專業(yè)實(shí)驗(yàn),我對(duì)芯片設(shè)計(jì)流程、Verilog HDL語(yǔ)言、Linux基本指令和Vi文本編輯器有了基本的了解。雖然之前對(duì)芯片設(shè)計(jì)、VHDL一無(wú)所知,但通過(guò)實(shí)驗(yàn)初步熟悉了ASIC的體系結(jié)構(gòu)和VHDL的基本語(yǔ)法,對(duì)電路中時(shí)鐘、寄生參數(shù)、元件布局帶來(lái)的影響也有了了解。我在實(shí)驗(yàn)中也遇到了許多問(wèn)題,但我在老師、助教、同學(xué)的幫助下解決了這些問(wèn)題,也有了更多收獲。通過(guò)這次ASIC專業(yè)實(shí)驗(yàn),我加深了對(duì)本專業(yè)的認(rèn)識(shí)。我會(huì)繼續(xù)努力成為合格的電子人。

      下載2010北郵考研網(wǎng)研院 機(jī)試題word格式文檔
      下載2010北郵考研網(wǎng)研院 機(jī)試題.doc
      將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
      點(diǎn)此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦

        【2011】北郵網(wǎng)研院和計(jì)算機(jī)院復(fù)試上機(jī)題目5篇

        2011北郵網(wǎng)研院復(fù)試上機(jī)題目 A、字符串操作 Accept:93 Submit:1212 Time Limit:1000MS Memory Limit:65536KB 請(qǐng)仔細(xì)閱讀“考前必讀” Description 大家平時(shí)都會(huì)用到字符......

        北郵網(wǎng)院市場(chǎng)營(yíng)銷階段一作業(yè)

        一、判斷題(共2道小題,共20.0分) 1. 集中營(yíng)銷策略適合于資源薄弱的小企業(yè)。[A;] A. 正確 B. 錯(cuò)誤 2. 相對(duì)市場(chǎng)占有率是指一定時(shí)期內(nèi)企業(yè)某種產(chǎn)品的銷售量(銷售額)在同一市場(chǎng)上的......

        北郵網(wǎng)院《管理學(xué)基礎(chǔ)》復(fù)習(xí)題分析

        《管理學(xué)基礎(chǔ)》復(fù)習(xí)題 (答案參見(jiàn)實(shí)時(shí)授課課件、教材等相關(guān)教學(xué)資源) 一、單項(xiàng)選擇題:(在下列四個(gè)選項(xiàng)中選擇一個(gè)正確的選項(xiàng)。) 1. 被譽(yù)為科學(xué)管理之父的是( A )。 A. 泰勒 B. 法約......

        北郵網(wǎng)院英語(yǔ)答案(精選5篇)

        一、完形填空(共1道小題,共50.0分) ? Many years ago there was a poor man. He had an orange tree 1 his garden. On the tree there were many fine oranges. 2 he found on......

        北郵信通院移動(dòng)通信實(shí)驗(yàn)報(bào)告

        北京郵電大學(xué) 移動(dòng)通信實(shí)驗(yàn)報(bào)告 班級(jí):2010211126 專業(yè): 信息工程姓名:學(xué)號(hào):班內(nèi)序號(hào):一、實(shí)驗(yàn)?zāi)康?1、 移動(dòng)通信設(shè)備的認(rèn)知 a) 了解機(jī)柜結(jié)構(gòu) b) 了解移動(dòng)通信設(shè)備組成和機(jī)框結(jié)構(gòu)......

        北郵電子院嵌入式實(shí)驗(yàn)報(bào)告大四上

        嵌入式實(shí)驗(yàn)報(bào)告 學(xué)院: 電子工程學(xué)院 一、實(shí)驗(yàn)?zāi)康?1、 了解嵌入式系統(tǒng)及其相關(guān)基礎(chǔ)知識(shí)。 2、 了解宿主PC機(jī)與PXA270目標(biāo)版,能正確連接宿主PC機(jī)與PXA270目標(biāo)版。 3、 學(xué)會(huì)在宿......

        北郵網(wǎng)院通信新技術(shù)作業(yè)答案(★)

        一、多項(xiàng)選擇題(共15道小題,共45.0分) 有線數(shù)字電視VOD系統(tǒng)主要包括 VOD服務(wù)器 節(jié)目采編系統(tǒng) 存儲(chǔ)系統(tǒng) 認(rèn)證計(jì)費(fèi)系統(tǒng) 流媒體服務(wù)器 知識(shí)點(diǎn): 綜合練習(xí)題 學(xué)生答案: [A;B;C;D;]......

        北郵數(shù)據(jù)庫(kù)實(shí)驗(yàn)報(bào)告

        數(shù)據(jù)庫(kù)實(shí)驗(yàn)報(bào)告(三) 姓名:學(xué)號(hào):班級(jí): 1. 用Transact-SQL語(yǔ)句、數(shù)據(jù)導(dǎo)入、SQL Server Management Studio(企業(yè)管理器)輸入的方法對(duì)所給定的8張表的數(shù)據(jù)輸入到數(shù)據(jù)庫(kù)中。自行決定每......