第一篇:多嘴多舌多條路美文
甲是一名碩士研究生,這天,他到一家公司應(yīng)聘,這是他應(yīng)聘的第6家公司,之前他所應(yīng)聘的5家公司都沒有錄用他。招聘人員看他儀表堂堂,談吐不凡,問他要求薪水多少。甲說月薪5000元。招聘人員點(diǎn)了點(diǎn)頭,讓甲把簡歷放下,并對(duì)他說,回去等通知吧。甲聽后,什么也沒有說,就離開了公司,回家靜靜地等通知。
乙是一名剛畢業(yè)的本科生,也到這家公司應(yīng)聘,這是他應(yīng)聘的第8家公司了,之前的7家公司都沒有聘用他,他不知道這第8家公司會(huì)不會(huì)錄用他。公司招聘人員看乙穿得挺時(shí)尚,但說話有點(diǎn)幼稚,就皺了皺眉頭,問他要求薪水多少。乙也要求月薪5000元。招聘人員沒有點(diǎn)頭,讓乙把簡歷放下,回家等通知。
然而,就在乙轉(zhuǎn)身要走的時(shí)候,他突然說了幾句話:“從走進(jìn)你們公司開始,我就深深地喜歡上了這里,公司新穎而不失傳統(tǒng)的裝飾,很讓人迷戀。還有,雖然我是一名應(yīng)屆畢業(yè)生,但我會(huì)搞設(shè)計(jì),而且在大一的時(shí)候,我學(xué)會(huì)了開車,駕駛技術(shù)堪稱一流。所以,錄用我,我可以一人兼兩職,早上、晚上接送老總上下班沒問題,而我只要一份薪水?!闭衅溉藛T又皺了皺眉,心想,這個(gè)年輕人話咋這么多。這時(shí),一個(gè)人走到乙的面前,停下看了他一眼,沒有說話,然后離開了。
招聘人員對(duì)乙說:“你的膽子可真大,竟然敢說給我們老總開車,剛才那位就是公司老總。我們不會(huì)錄用你的,你再想辦法吧!”乙一聽,吐了吐舌頭,扭頭走了。
乙剛走,招聘人員就接到公司老總的電話,老總說他的司機(jī)聘用期滿,剛才那個(gè)來應(yīng)聘的小伙子,也就是愿意干兩份工作只要一份薪水的小伙子,試用期3個(gè)月,3個(gè)月后如果感覺合格,薪水上萬元,并與公司簽訂三年的勞動(dòng)合同。招聘人員一下愣在那里,幸好乙走的時(shí)候,留下了簡歷,于是他趕緊給乙打了一個(gè)電話,并告訴他已被公司錄用。
碩士研究生甲一直沒有接到被這家公司錄用的電話,而乙在應(yīng)聘的第二天就上班了。乙說,他做夢(mèng)都沒想到自己的運(yùn)氣會(huì)這么好,其實(shí),他對(duì)前去應(yīng)聘的這第8家公司也沒抱有希望,沒想到竟然成功了。
乙心想,在這家公司工作,可以搞自己喜歡的設(shè)計(jì),雖然給老總開車有點(diǎn)操心,但不多說話把車開好就行,這工作真是太好了。乙想了半天,終于知道公司錄用他的原因,那就是在應(yīng)聘時(shí),抓住一切機(jī)會(huì),多嘴多舌多說了幾句,從而多出了一條路。
第二篇:多路智力競(jìng)賽搶答器
湖南工學(xué)院
多路智力競(jìng)賽搶答器設(shè)計(jì)報(bào)告書
課程名稱:多路智力競(jìng)賽搶答器 系部名稱:電氣與信息工程系 專業(yè)名稱:電子信息工程技術(shù) 班級(jí)名稱:電信0702 設(shè)計(jì)人 :裴書茂 學(xué)號(hào) :402070220 指導(dǎo)老師: 設(shè)計(jì)時(shí)間:
湖南工學(xué)院
(課程設(shè)計(jì)任務(wù)書目錄)
前言 ???????????????? 1 設(shè)計(jì)功能要求 ?????????????? 2 搶答器框架設(shè)計(jì) ????????????? 3 電路設(shè)計(jì) ??????????????? 4 1)搶答電路設(shè)計(jì) ???????????? 4 2)定時(shí)電路設(shè)計(jì) ???????????? 53)報(bào)警電路設(shè)計(jì)
???????????? 64)時(shí)序控制電路設(shè)計(jì) ?????????? 7元器件介紹 ???????????????? 8 1)74LS148功能介紹 ??????????? 8 2)74LS192功能介紹 ??????????? 9 仿真電路實(shí)驗(yàn) ???????????????? 10 1)Proteus仿真電路圖 ??????????實(shí)物制作 ????????????????? 11 1)原理圖 ??????????????? 11 2)PCB制圖 ??????????????? 12 3)焊接與調(diào)試 ?????????????? 13 心得體會(huì) ????????????????? 15 鳴謝 ??????????????????? 15 參考資料 ????????????????? 15
前 言
隨著電子技術(shù)的飛躍發(fā)展,社會(huì)發(fā)展步入了信息時(shí)代,隨著信息時(shí)代對(duì)人才高素質(zhì)和信息化的要求,隨著高等教育發(fā)展的趨勢(shì),人們的生活水平提高,對(duì)精神文明生活的要求也跟著提高,這對(duì)電子領(lǐng)域提出了跟更高的要求。
電子學(xué)是一門應(yīng)用很廣泛的科學(xué)技術(shù),發(fā)展及其迅速。要想學(xué)好這門技術(shù),首先是基礎(chǔ)理論的系統(tǒng)學(xué)習(xí),然后要技術(shù)訓(xùn)練,進(jìn)而培養(yǎng)我們對(duì)理論聯(lián)系實(shí)際的能力,設(shè)計(jì)電路的能力,實(shí)際操作的能力,以及培養(yǎng)正確處理數(shù)據(jù)、分析和綜合實(shí)驗(yàn)結(jié)果、檢查和排除故障的能力。同時(shí)也加深我們對(duì)電子產(chǎn)品的理解。
智力搶答器的設(shè)計(jì)和測(cè)試作為此次課程設(shè)計(jì)的課題,我們采用一般意義上的設(shè)計(jì)方案,即采用集成芯片構(gòu)成電路。
數(shù)字電路具有很多的有點(diǎn):(1)便于高度集成化。由于數(shù)字電路采用二進(jìn)制,因此單元電路的結(jié)構(gòu)簡單,允許電路參數(shù)有較大的離散性,便于集成。
(2)工作可靠性高,抗干擾能力強(qiáng)。數(shù)字信號(hào)用二進(jìn)制表示,數(shù)字電路的識(shí)別能力強(qiáng)。
(3)(4)(5)數(shù)字信息便于長期存放。
數(shù)字電路集成產(chǎn)品多,通用性強(qiáng),成本低。
保密性好。數(shù)字信息容易進(jìn)行加密處理,不易被竊取。
設(shè)計(jì)功能要求
一、功能要求
1、設(shè)計(jì)制作一個(gè)可容納5組參賽的數(shù)字式槍答器,每組設(shè)置一個(gè)搶答按鈕供搶答者使用。
2、給主持人設(shè)置一個(gè)控制開關(guān),用來控制系統(tǒng)的清零和搶答的開始。
3、搶答器具有數(shù)據(jù)鎖存和顯示的功能。搶答開始后,若有選手按搶答按鈕,編號(hào)立即鎖存,并在LED數(shù)碼管上顯示出選手的編號(hào)(1~5),同時(shí)揚(yáng)聲器給出音響提示。同時(shí)禁止其他選手搶答。
4、搶答器具有定時(shí)搶答的功能,搶答時(shí)間設(shè)定為30秒,當(dāng)主持人按下開始按鈕時(shí),定時(shí)器立刻倒計(jì)時(shí),并顯示。選手在設(shè)定的時(shí)間內(nèi)搶答有效。超過時(shí)間搶答無效,定時(shí)顯示器顯示00。
二、設(shè)計(jì)步驟與要求
1、擬定電路的組成框圖,要求能實(shí)現(xiàn)所有功能,使用的元器件少,成本低。
2、設(shè)計(jì)并安裝電路,要求布線整齊、美觀,便于級(jí)聯(lián)和調(diào)試。
3、測(cè)試所設(shè)計(jì)搶答器的邏輯功能,滿足各項(xiàng)功能要求。
4、畫出整機(jī)邏輯電路圖。
5、寫出設(shè)計(jì)報(bào)告。
搶答器框架設(shè)計(jì)
定時(shí)搶答器的總體框圖(如圖
1.1)所示,它由主體電路和擴(kuò)展電路兩部分組成。主體電路完成基本的搶答功能,即開始搶答后,當(dāng)選手按動(dòng)搶答按鈕時(shí),能顯示選手的編號(hào),同時(shí)能封鎖輸入電路,禁止其他選手搶答。擴(kuò)展電路完成定時(shí)搶答的功能。
圖1 如圖所示為總體方框圖。工作原理為:接通電源后,主持人將開關(guān)撥到“清零”狀態(tài),搶答器處于禁止?fàn)顟B(tài),編號(hào)顯示器滅燈,定時(shí)器顯示設(shè)定時(shí)間;主持人將開關(guān)置;開始“狀態(tài),宣布“開始”搶答器工作。定時(shí)器倒計(jì)時(shí),揚(yáng)聲器給出聲響提示。選手在定時(shí)時(shí)間內(nèi)搶答時(shí),搶答器完成:優(yōu)先判斷、編號(hào)鎖存、編號(hào)顯示、揚(yáng)聲器提示。當(dāng)一輪搶答之后,定時(shí)器停止、禁止二次搶答、定時(shí)器顯示剩余時(shí)間。如果再次搶答必須由主持人再次操作“清除”和“開始”狀態(tài)開關(guān)。
電路設(shè)計(jì)
一、搶答電路設(shè)計(jì)
設(shè)計(jì)電路如圖2所示。電路選用優(yōu)先編碼器 74LS148 和鎖存器 74LS297 來完成。該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào)(顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示管);二是禁止其他選手按鍵,其按鍵操作無效。
圖2 工作過程:開關(guān)S置于”清除“端時(shí),RS觸發(fā)器的 R、S端均為0,4個(gè)觸發(fā)器輸出置0,使74LS148的優(yōu)先編碼工作標(biāo)志端(圖中5號(hào)端)=0,使之處于工作狀態(tài)。當(dāng)開關(guān)S置于”開始“時(shí),搶答器處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)(如按下S5),74LS148的輸出經(jīng)RS鎖存后,CTR=1,RBO(圖中4端)=1,七段顯示電路74LS48處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為“5”。此外,CTR
=1,使74LS148 優(yōu)先編碼工作標(biāo)志端(圖中5號(hào)端)=1,處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開即按下時(shí),74LS148的 此時(shí)由于仍為CTR=1,使優(yōu)先編碼工作標(biāo)志端(圖中5號(hào)端)=1,所以74LS148仍處于禁止?fàn)顟B(tài),確保不會(huì)出二次按鍵時(shí)輸入信號(hào),保證了搶答者的優(yōu)先性。如有再次搶答需由主持人將S開關(guān)重新置“清除”然后再進(jìn)行下一輪搶答。74LS148為8線-3線優(yōu)先編碼器,表1為其功能表。
表1
二、定時(shí)電路設(shè)計(jì)
節(jié)目主持人根據(jù)搶答器的難易程度,設(shè)計(jì)一次搶答的時(shí)間,通過預(yù)置時(shí)間電路對(duì)計(jì)數(shù)器進(jìn)行預(yù)置,選用十進(jìn)制同步加減計(jì)數(shù)器74LS192進(jìn)行設(shè)計(jì),計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。具體電路如圖3。
原理及設(shè)計(jì):該部分主要由555定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS192減法計(jì)數(shù)電路、74LS48譯碼電路和2個(gè)7段數(shù)碼管即相關(guān)電路組成。具體電路如圖3所示。兩塊74LS192實(shí)現(xiàn)減法計(jì)數(shù),通過譯碼電路74LS48顯示到數(shù)碼管上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。原理及設(shè)計(jì):該部分主要由555定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS192減法計(jì)數(shù)電路、74LS48譯碼電路和2個(gè)7段數(shù)碼管即相關(guān)電路組成。具體電路如圖3所示。兩塊74LS192實(shí)現(xiàn)減法計(jì)數(shù),通過譯碼電路74LS48顯示到數(shù)碼管上,其時(shí)鐘信號(hào)
圖3 由時(shí)鐘產(chǎn)生電路提供。按鍵彈起后,計(jì)數(shù)器開始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管DPY_7-SEG 上,當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí),輸出低電平到時(shí)序控制電路,控制報(bào)警電路報(bào)警,同時(shí)以后選手搶答無效。結(jié)合我們的實(shí)際經(jīng)驗(yàn)及考慮到元器件的成本,我們選擇的電阻值為R1=15K,R2=68K,C=10uF,代入到上式中即得,即秒脈沖。
三、報(bào)警電路設(shè)計(jì)
由555 芯片構(gòu)成多諧振蕩電路 ,555 的輸出信號(hào)再經(jīng)三極管放大 ,從而推動(dòng)揚(yáng)聲器發(fā)聲。
控制電路包括時(shí)序和報(bào)警兩個(gè)電路 ,如圖4 所示??刂齐娐沸杈哂幸韵聨讉€(gè)功能。
主持人閉合開關(guān)揚(yáng)聲器發(fā)聲 ,多路搶答器電路和計(jì)時(shí)電路進(jìn)入正常狀態(tài);參賽者按鍵時(shí) ,揚(yáng)聲器發(fā)聲 ,搶答電路和計(jì)時(shí)電路停止工作;搶答時(shí)間到 ,無人搶答 ,揚(yáng)聲器發(fā)聲 ,搶答電路和計(jì)時(shí)電路停止工作
圖4
四、時(shí)序控制電路設(shè)計(jì)
時(shí)序控制電路是搶答器設(shè)計(jì)的關(guān)鍵,它要完成以下三項(xiàng)功能。
1)主持人將控制開關(guān)撥到“開始”位置時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路進(jìn)入正常搶答工作狀態(tài)。
2)當(dāng)參賽選手按動(dòng)搶答按鍵時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路停止工作。
圖5 3)當(dāng)設(shè)定的搶答時(shí)間到,無人搶答時(shí),揚(yáng)聲器發(fā)聲,定時(shí)和定時(shí)電路停止工作。
根據(jù)上面的功能要求,設(shè)計(jì)的時(shí)序控制電路如(圖5)所示。圖中,門G1 的作用是控制時(shí)鐘信號(hào)CP的放行與禁止,門G2的作用是控制74LS148的輸人使能端。圖11、4的工作原理是:主持人控制開關(guān)從”清除“位置撥到”開始"位置時(shí),來自于(圖2)中的74LS279的輸出 1Q=0,經(jīng)G3反相,A=1,則時(shí)鐘信號(hào)CP能夠加到74LS192的CPD時(shí)鐘輸入端,定時(shí)電路進(jìn)行遞減計(jì)時(shí)。同時(shí),在定時(shí)時(shí)間未到時(shí),則“定時(shí)到信號(hào)”為 1,門G2的輸出 =0,使 74LS148處于正常工作狀態(tài),從而實(shí)現(xiàn)功能①的要求。當(dāng)選手在定時(shí)時(shí)間內(nèi)按動(dòng)搶答鍵時(shí),1Q=1,經(jīng) G3反相,A=0,封鎖 CP信號(hào),定時(shí)器處于保持工作狀態(tài);同時(shí),門G2的輸出 =1,74LS148處于禁止工作狀態(tài),從而實(shí)現(xiàn)功能②的要求。當(dāng)定時(shí)時(shí)間到時(shí),則“定時(shí)到信號(hào)”為0,=1,74LS148處于禁止工作狀態(tài),禁止選手進(jìn)行搶答。同時(shí),門G1處于關(guān)門狀態(tài),封鎖 CP信號(hào),使定時(shí)電路保持00狀態(tài)不變,從而實(shí)現(xiàn)(功能3)的要求。集成單穩(wěn)觸發(fā)器74LS121用于控制報(bào)警電路及發(fā)聲的時(shí)間。
元器件介紹
一、74LS148功能介紹
在優(yōu)先編碼器電路中,允許同時(shí)輸入兩個(gè)以上編碼信號(hào)。不過在設(shè)計(jì)優(yōu)先編碼器時(shí),已經(jīng)將所有的輸入信號(hào)按優(yōu)先順序排了隊(duì)。在同時(shí)存在兩個(gè)或兩個(gè)以上輸入信號(hào)時(shí),優(yōu)先編碼器只按優(yōu)先級(jí)高的輸入信號(hào)編碼,優(yōu)先級(jí)低的信號(hào)則不起作用。74148是一個(gè)八線-三線優(yōu)先級(jí)編碼器。
如圖6所示的是八線-三線編碼器74148的管腳圖引腳圖。
圖6
二、74LS192功能介紹
74LS192是雙時(shí)鐘方式的十進(jìn)制可逆計(jì)數(shù)器。
CPU為加計(jì)數(shù)時(shí)鐘輸入端,CPD為減計(jì)數(shù)時(shí)鐘輸入端。LD為預(yù)置輸入控制端,異步預(yù)置。CR為復(fù)位輸入端,高電平有效,異步清除。CO為進(jìn)位輸出:1001狀態(tài)后負(fù)脈沖輸出。BO為借位輸出:0000狀態(tài)后負(fù)脈沖輸出。
圖7
圖8
仿真電路實(shí)驗(yàn)
一、Proteus仿真電路圖
仿真電路課實(shí)現(xiàn)搶答功能,由于軟件局限,報(bào)警電路沒有在仿真當(dāng)中。
實(shí)物制作
一、原理圖
二、PCB制圖
三、焊接與調(diào)試
在制板中最重要的一個(gè)環(huán)節(jié)就是線路的印制。在這次的制作中,由于打印的客觀原因,使在轉(zhuǎn)印的效果不是很好。做出的實(shí)物沒有預(yù)期的好。這也是以后一定要注意的地方。
1)焊接部分需要注意的是:
嚴(yán)格按照線路的設(shè)計(jì)進(jìn)行焊接,首先焊接過孔,在對(duì)元器件進(jìn)行焊接。焊接時(shí)間不宜過久,但要完全熔著,以免造成冷焊。焊點(diǎn)的表面要平滑、有光澤。焊點(diǎn)完全冷卻前,不可移動(dòng)。電烙鐵不用時(shí)要放置于電烙鐵架上,并隨時(shí)保持烙鐵頭的清潔。焊接完畢,要在烙鐵頭鍍上薄層焊錫,避免氧化,并等冷卻后再收存。
2)調(diào)試部分需要注意的是:
在通電之前必須對(duì)照原理圖對(duì)線路進(jìn)行詳細(xì)的檢查。檢查是否有虛焊或者為焊接的點(diǎn)。可用萬用表進(jìn)行線路檢查,看是否有線路在焊接的時(shí)候不慎連接在了一起,如有進(jìn)行修改。在檢查完畢之后才可以通電測(cè)試。如果在通電之后任然有問題,應(yīng)立即斷電,再仔細(xì)檢查。
心得體會(huì)
通過這次設(shè)計(jì),我的理論知識(shí)掌握得更扎實(shí),動(dòng)手能力明顯提高。同時(shí),通過網(wǎng)上搜索等多方面的查詢資料,我學(xué)到許多在書本上沒有的知識(shí),也認(rèn)識(shí)到理論聯(lián)系實(shí)踐的重要。在制作當(dāng)中遇到了許多以前沒遇到的困難。我們利用許多的方法去解決所遇到的問題。制作好以后,雖然基本符合設(shè)計(jì)要求,但我們總覺得欠缺點(diǎn)什么。這次設(shè)計(jì),讓我感受最深是。在仿真的階段遇到很多的問題,計(jì)時(shí)電路不能隨搶答而停止,還有就是由于軟件的局限在仿真時(shí)候沒有完成整體電路的調(diào)試,這也為最后的實(shí)物調(diào)試造成了困難。我們一定要具備一定的檢查、排除電路故障的能力。我深刻認(rèn)識(shí)到了“理論聯(lián)系實(shí)際”的這句話的重要性與真實(shí)性。而且通過對(duì)此課程的設(shè)計(jì),我不但知道了以前不知道的理論知識(shí),而且也鞏固了以前知道的知識(shí)。最重要的是在實(shí)踐中理解了書本上的知識(shí),明白了學(xué)以致用的真諦。在整個(gè)設(shè)計(jì)到電路的焊接以及調(diào)試過程中,我個(gè)人感覺調(diào)試部分是最難的,由于在印制電路板的時(shí)候效果不好出現(xiàn)很多的斷線,這讓整個(gè)焊接和調(diào)試很麻煩。調(diào)試是一個(gè)經(jīng)驗(yàn)的積累過程,沒有經(jīng)驗(yàn)是不可能在短時(shí)間內(nèi)將其完成的,可能也是老師要求我們加以提高的一個(gè)重要方面吧!
鳴謝
感謝老師的耐心指導(dǎo);
感謝同學(xué)的幫助;
感謝湖南工學(xué)院電信系實(shí)驗(yàn)老師的大力支持;
感謝湖南工學(xué)院對(duì)我的支助。
參考文獻(xiàn)
宋樹祥《高頻電子線路》北京大學(xué)出版社
謝自美《電子線路設(shè)計(jì)?實(shí)驗(yàn)?測(cè)試》華中科大出版社
第三篇:微機(jī)原理_多路搶答器設(shè)計(jì)論文
課程設(shè)計(jì)(論文)
課程名稱:
微機(jī)原理與接口技術(shù)
題
目:
多路搶答器設(shè)計(jì) 院(系):
理學(xué)院
專業(yè)班級(jí):電子信息科學(xué)與技術(shù)1301
姓
名:
彭博
學(xué)
號(hào):
131004033
指導(dǎo)教師:
張愛萍
2016 年 1 月 8 日 西安建筑科技大學(xué)課程設(shè)計(jì)(論文)
西安建筑科技大學(xué)課程設(shè)計(jì)(論文)任務(wù)書
專業(yè)班級(jí):電子信息科學(xué)與技術(shù)1301 學(xué)生姓名: 彭博 指導(dǎo)教師(簽名):
一、課程設(shè)計(jì)(論文)題目 多路搶答器設(shè)計(jì)
二、本次課程設(shè)計(jì)(論文)應(yīng)達(dá)到的目的
通過本次課程設(shè)計(jì),加深對(duì)微機(jī)原理基本知識(shí)的理解,逐步掌握Proteus的操作方法,并能仿真做出一個(gè)搶答器,從而進(jìn)一步提高綜合運(yùn)用知識(shí)的能力,同時(shí)通過實(shí)習(xí)掌握書寫課程設(shè)計(jì)報(bào)告書的步驟和方法。
三、本次課程設(shè)計(jì)(論文)任務(wù)的主要內(nèi)容和要求(包括原始數(shù)據(jù)、技術(shù)參數(shù)、設(shè)計(jì)要求等)
1、熟悉Proteus軟件和Emu8086軟件,8086CPU及編程技巧;
2、熟悉8255A接口芯片,8253芯片及利用8255A芯片、8253芯片實(shí)現(xiàn)對(duì)外設(shè)控制的原理;
3、利用Proteus軟件,以Intel 8086 CPU為核心,結(jié)合8255接口芯片設(shè)計(jì)搶答器仿真電路,在Emu8086軟件環(huán)境下,編寫程序,最終實(shí)現(xiàn)當(dāng)搶答開始,若有選手按動(dòng)按鈕,在數(shù)碼管上顯示選手的編號(hào),并且發(fā)生聲響,直到按下復(fù)位按鍵,就可開始下一輪搶答。
四、應(yīng)收集的資料及主要參考文獻(xiàn):
1、陳衛(wèi)紅《微型計(jì)算機(jī)基本原理與接口技術(shù)(第三版)》科學(xué)出版社 2015
2、劉云玲《微機(jī)原理與接口技術(shù)實(shí)驗(yàn)指導(dǎo)》清華大學(xué)出版社 2014
3、和宏《微機(jī)原理與接口技術(shù)——基于Proteus仿真的8086微機(jī)系統(tǒng)設(shè)計(jì)及應(yīng)用》清華大學(xué)出版社 2015
4、鄒逢興《微機(jī)原理與接口技術(shù)經(jīng)典實(shí)驗(yàn)案例集》高等教育出版社 2012
5、周景潤《Proteus入門實(shí)用教程》機(jī)械工業(yè)出版社 2007
五、審核批準(zhǔn)意見
教研室主任(簽字)西安建筑科技大學(xué)課程設(shè)計(jì)(論文)
摘要
本次課程設(shè)計(jì)通過使用Intel8086 CPU控制,配合8255A可編程并行接口芯片、可編程計(jì)數(shù)器8253芯片與若干按鈕開關(guān)以及數(shù)碼管等來實(shí)現(xiàn)一個(gè)簡易的多路搶答器的制作。這一設(shè)計(jì)利用了8255A芯片的輸入與輸出功能以及8253芯片的計(jì)時(shí)功能以及發(fā)聲功能,通過匯編語言編程,使其具有搶答、顯示、響聲、復(fù)位的功能。
本次設(shè)計(jì)貼近生活,實(shí)用性高,判斷精確,操作簡單。它的功能實(shí)現(xiàn)是當(dāng)比賽開始時(shí),主持人讀完題目即可按下開始運(yùn)行電路的總開關(guān),此時(shí)開始第一輪搶答,同時(shí)有7個(gè)選手進(jìn)行搶答,第一個(gè)按下開關(guān)的選手的編號(hào)將會(huì)顯示在數(shù)碼管上,并且在搶答到的同時(shí)會(huì)有聲響提示,其余選手再按下開關(guān)將會(huì)無效,此時(shí)只有通過按下按鈕8才能重置數(shù)碼管顯示為零,開始下一輪搶答。
本設(shè)計(jì)使用Proteus仿真軟件以及Emu8086匯編軟件進(jìn)行設(shè)計(jì),不需要進(jìn)行實(shí)際電路的安裝,只需要在一臺(tái)安裝有Proteus以及Emu8086的計(jì)算機(jī)上進(jìn)行仿真設(shè)計(jì)以及調(diào)試即可。具有線路簡單、結(jié)構(gòu)緊湊、性能優(yōu)越等特點(diǎn)。
關(guān)鍵詞:搶答器,8086,8255A,8253,Proteus西安建筑科技大學(xué)課程設(shè)計(jì)(論文)
Abstract
This experiment by using Intel8086 CPU control, with 8255 a programmable parallel interface chip and several programmable counter 8253 chip and push button switch and digital tube and so on to achieve a simple multiplex buzzer.This design using the input and output functions of the 8255 a chip and 8253 chip timing function and voice function, through the assembly language programming, make its have vies to answer first, display, sound and reset function.The design of press close to life, high practicality, judgement precision, simple operation.The realization of the function of the it is when the game starts, the host read subject to press start running circuit without the master switch, at this time to start the first round of the contest, at the same time, there are seven contestant vies to answer first, first press the switch of the contestant's serial number will be displayed on the digital tube, and at the same time of vies to answer first to have sound prompt, the rest of the players to press the switch will be invalid, this time can only be reset by pressing the button 8 digital tube display is zero, begin the next round of vies to answer first.This design uses Proteus simulation software and Emu8086 assembly software to carry on the design, do not need to undertake the installation of the actual circuit, only need a 7-day with Proteus and Emu8086 computer simulation design and debugging.Has the circuit simple, compact structure, superior performance, etc.Key words: buzzer, 8086, 8255A, 8253, Proteus
西安建筑科技大學(xué)課程設(shè)計(jì)(論文)
目錄 緒論.................................................1 1.1 設(shè)計(jì)介紹.......................................1 1.2 Proteus仿真軟件介紹...........................1 1.3 搶答器介紹.....................................2 1.3.1 搶答器主要功能...........................2 1.3.2 搶答器主要組成...........................2 1.3.3 搶答器的工作原理.........................2 2 硬件設(shè)計(jì)原理.........................................3 2.1 電路圖設(shè)計(jì).....................................3 2.2 電路中各芯片介紹...............................4 2.2.1 Intel 8086 處理器........................4 2.2.2 可編程并行接口芯片8255A.................5 2.2.3 可編程計(jì)數(shù)/計(jì)時(shí)器8253芯片...............7 2.2.4 其他芯片.................................8 3 軟件設(shè)計(jì)原理........................................10 3.1 流程圖........................................10 3.2 程序..........................................11 4 系統(tǒng)仿真調(diào)試........................................14 4.1 運(yùn)行程序開始搶答..............................14 4.2 選手按下按鈕搶答..............................14 4.3主持人重置搶答器..............................15 5 心得體會(huì)............................................16 參考文獻(xiàn)..............................................17 西安建筑科技大學(xué)課程設(shè)計(jì)(論文)緒論
1.1 設(shè)計(jì)介紹
本設(shè)計(jì)是基于Intel 8086處理器進(jìn)行設(shè)計(jì)的搶答器裝置,通過處理器以及總線、數(shù)碼管、一系列開關(guān)之間的配合,連接成為一個(gè)搶答器。本次設(shè)計(jì)由于條件所限,使用了Proteus仿真軟件進(jìn)行模擬,這樣做的好處有:形式直觀、成本低廉、方便調(diào)試、便于修改。
1.2 Proteus仿真軟件介紹
Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(仿真軟件)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。從原理圖布圖、代碼調(diào)試到單片機(jī)與外圍電路協(xié)同仿真,一鍵切換到PCB設(shè)計(jì),真正實(shí)現(xiàn)了從概念到產(chǎn)品的完整設(shè)計(jì)。是目前世界上唯一將電路仿真軟件、PCB設(shè)計(jì)軟件和虛擬模型仿真軟件三合一的設(shè)計(jì)平臺(tái),其處理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,而我們?cè)诒敬蔚恼n設(shè)中,用到的就是使用Proteus來仿真8086處理器。圖1-1是Proteus軟件界面。
圖1-1 Proteus界面
第四篇:EDA課程設(shè)計(jì) 多路彩燈設(shè)計(jì)
多路彩燈控制器的設(shè)計(jì)
O 引言
硬件描述語言(HDL)是相對(duì)于一般的計(jì)算機(jī)軟件語言如C,Pascal而言的。HDL是用于設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語言,它描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接方式。設(shè)計(jì)者可以利用HDL程序來描述所希望的電路系統(tǒng),規(guī)定其結(jié)構(gòu)特征和電路的行為方式,然后利用綜合器和適配器將此程序變成能控制FPGA和CPLD內(nèi)部結(jié)構(gòu),并實(shí)現(xiàn)相應(yīng)邏輯功能的門級(jí)或更底層的結(jié)構(gòu)網(wǎng)表文件和下載文件。VHDL(VeryHigh Speed Integrated Circuit Hardware descriptionLangtuage)主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。與其他的HDL語言相比,VHDL具有更強(qiáng)的行為描述能力,從而決定了它成為系統(tǒng)設(shè)計(jì)領(lǐng)域最佳的硬件描述語言。強(qiáng)大的行為描述能力是避開具體的器件結(jié)構(gòu)。從邏輯行為上描述和設(shè)計(jì)大規(guī)模電子系統(tǒng)的重要保證。在文獻(xiàn)中作者從不同的角度闡述了EDA技術(shù)的應(yīng)用,它具有功能強(qiáng)大、描述能力強(qiáng)、可移植性好、研制周期短、成本低等特點(diǎn),即使設(shè)計(jì)者不懂硬件的結(jié)構(gòu),也能進(jìn)行獨(dú)立的設(shè)計(jì)。本文以Alter公司提供的Max+PlusⅡ?yàn)槠脚_(tái),設(shè)計(jì)一個(gè)可變速的彩燈控制器,可以在不修改硬件電路的基礎(chǔ)上,僅通過更改軟件就能實(shí)現(xiàn)任意修改花型的編程控制方案,實(shí)現(xiàn)控制16只LED以6種花型和兩種速度循環(huán)變化顯示,而且設(shè)計(jì)非常方便,設(shè)計(jì)的電路保密性。
(一)實(shí)驗(yàn)?zāi)康?/p>
1. 進(jìn)一步掌握數(shù)字電路課程所學(xué)的理論知識(shí)。
2. 了解數(shù)字電路設(shè)計(jì)的基本思想和方法,學(xué)會(huì)科學(xué)分析和解決問題。3. 熟悉幾種常用集成數(shù)字芯片,并掌握其工作原理,進(jìn)一步學(xué)會(huì)使用其進(jìn)行電路設(shè)計(jì)。
4.培養(yǎng)認(rèn)真嚴(yán)謹(jǐn)?shù)墓ぷ髯黠L(fēng)和實(shí)事求是的工作態(tài)度
一、設(shè)計(jì)任務(wù)與要求
(1)要有多種花形變花。
(2)多路花形可以自動(dòng)變換循環(huán)往復(fù)。(3)彩燈變幻的快慢接拍可以選擇。(4)具有清零開關(guān)。
二、總體框圖
(一)設(shè)計(jì)思路
用VHDL進(jìn)行設(shè)計(jì),首先應(yīng)該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級(jí),寄存器傳輸級(jí)和邏輯門級(jí)多個(gè)設(shè)計(jì)層次。應(yīng)充分利用VHDL“自頂向下”的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概念,層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)。首先應(yīng)進(jìn)行系統(tǒng)模塊的劃分,規(guī)定每一個(gè)模塊的功能以及各模塊之間的接口,最終設(shè)計(jì)方案分為三大模塊:16路花樣彩燈顯示器、時(shí)序控制器、整個(gè)電路系統(tǒng),從而達(dá)到控制彩燈閃爍速度的快慢和花型的的變換。1.自動(dòng)控制多路彩燈按預(yù)設(shè)的花型進(jìn)行變換;
2、花型種類不少于三種,花型自擬; 3.分別用快慢兩種節(jié)拍實(shí)現(xiàn)花型變換。4.選擇:用可編輯邏輯器件實(shí)現(xiàn)。
(二)總體方案的設(shè)計(jì)
根據(jù)題目實(shí)際要求,經(jīng)過分析與思考,擬定以下兩種方案:
方案一:總體分為三個(gè)模塊。第一塊實(shí)現(xiàn)花形的演示,第二塊實(shí)現(xiàn)花形的控制及節(jié)拍控制;第三塊實(shí)現(xiàn)時(shí)鐘信號(hào)的產(chǎn)生。
方案二:整體電路分為四塊。第一塊實(shí)現(xiàn)花形的演示;第二塊實(shí)現(xiàn)花形的控制;第三塊實(shí)現(xiàn)節(jié)拍控制;第四塊實(shí)現(xiàn)信號(hào)產(chǎn)生。
方案三:有三個(gè)模塊,第一個(gè)模塊是時(shí)鐘控制模塊,第二塊是花形控制模塊,第三塊是整體模塊。
(三)總體設(shè)計(jì)的選擇
三種方案比較發(fā)現(xiàn),第三種方案相對(duì)簡單。這樣設(shè)計(jì)其優(yōu)點(diǎn)在于:設(shè)計(jì)思想比較簡單,元件種類使用較少,且易于連接電路?;谝陨显颍由隙虝r(shí)間內(nèi)完成課程設(shè)計(jì),我選擇了連線少的,易于連接和調(diào)試的方案。
(四)總體設(shè)計(jì)的選擇 1 設(shè)計(jì)原理
時(shí)序控制電路SXKZ根據(jù)輸入信號(hào)CKL_IN,CLR,CHOSE_KEY產(chǎn)生符合一定要求的、供顯示控制電路XSKZ使用的控制時(shí)鐘信號(hào),而顯示控制電路XSKZ則根據(jù)時(shí)序控制電路SXKZ輸入的控制時(shí)鐘信號(hào),輸出6種花形循環(huán)變化的、控制16路彩燈工作的控制信號(hào),這些控制信號(hào)加上驅(qū)動(dòng)電路一起控制彩燈工作。2系統(tǒng)設(shè)計(jì)方案
根據(jù)系統(tǒng)設(shè)計(jì)方案要求可知,整個(gè)系統(tǒng)共三個(gè)輸入信號(hào):控制彩燈節(jié)拍快慢的基準(zhǔn)時(shí)鐘信號(hào)CLK_IN,系統(tǒng)清零信號(hào)CLK,彩燈節(jié)拍快慢選擇開關(guān)CHOSE_KEY:共有16個(gè)輸出信號(hào)LED【15..0】,分別控制16路彩燈。
我們可將整個(gè)彩燈控制器CDKZQ分為兩大部分:時(shí)序控制電路SXKZ和顯示控制電路XSKZ,整個(gè)系統(tǒng)的組成原理如下圖。
三、選擇器件
16X16LED顯示
EPI12版上SW【0】撥碼 CLK1p
四、功能模塊
(一)時(shí)序控制電路的VHDL源程序 ――SXKZ。VHDH library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sxkz is
port(chose_key:in std_logic;
clk_in:in std_logic;
clr:in std_logic;
clk:out std_logic);end entity sxkz;architecture art of sxkz is
signal cllk:std_logic;
begin
process(clk_in,clr,chose_key)is
variable temp:std_logic_vector(2 downto 0);
begin
if clr='0'then ――當(dāng)CLK='0'時(shí)清零,否則正常工作
cllk<='0';temp:=“000”;
elsif rising_edge(clk_in)then
if chose_key='1'then
if temp=“011”then
temp:=“000”;
cllk<=not cllk;
else
temp:=temp+'1';
end if;--當(dāng)CHOSE_KEY=’1’產(chǎn)生基準(zhǔn)時(shí)鐘頻率的1/4的時(shí)鐘信號(hào),否則產(chǎn)生基準(zhǔn)時(shí)鐘--頻率的1/8的時(shí)鐘信號(hào)
else
if temp=“111”then
temp:=“000”;
cllk<=not cllk;
else
temp:=temp+'1';
end if;
end if;
end if;end process;clk<=cllk;end architecture art;
時(shí)序控制電路SXKZ的仿真圖如下;
時(shí)序控制電路SXKZ的功能是,用CHOSE_KEY控制輸入信號(hào)CKL_IN的快慢節(jié)拍。而CLR是控制開關(guān)。
(二)顯示控制電路的VHDL源程序--XSKZ.VHDL library ieee;use ieee.std_logic_1164.all;entity xskz is
port(clk:in std_logic;
clr:in std_logic;
led:out std_logic_vector(15 downto 0));end entity xskz;architecture art of xskz is
type state is(s0,s1,s2,s3,s4,s5,s6);
signal current_state:state;
signal flower:std_logic_vector(15 downto 0);
begin
process(clr,clk)is
constant f1:std_logic_vector(15 downto 0):=“***1”;
constant f2:std_logic_vector(15 downto 0):=“***0”;
constant f3:std_logic_vector(15 downto 0):=“***1”;
constant f4:std_logic_vector(15 downto 0):=“***0”;
constant f5:std_logic_vector(15 downto 0):=“***1”;constant f6:std_logic_vector(15 downto 0):=“***1”;--六種花形的定義
begin
if clr='1'then
current_state<=s0;
elsif rising_edge(clk)then
case current_state is
when s0=>
flower<=“***0”;
current_state<=s1;
when s1=>
flower<=f1;
current_state<=s2;
when s2=>
flower<=f2;
current_state<=s3;
when s3=>
flower<=f3;
current_state<=s4;
when s4=>
flower<=f4;
current_state<=s5;
when s5=>
flower<=f5;
current_state<=s6;
when s6=>
flower<=f6;
current_state<=s1;
end case;
end if;
end process;
led<=flower;end architecture art;
顯示控制電路XSKZ的仿真圖如下;
顯示控制電路XSKZ的功能是控制花形的。
(三)整個(gè)電路系統(tǒng)的VHDL源程序--CDKZQ.VHDL library ieee;use ieee.std_logic_1164.all;entity cdkzq is
port(chose_key:in std_logic;
clk_in:in std_logic;
clr:in std_logic;
VGA: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
led:out std_logic_vector(15 downto 0));end entity cdkzq;architecture art of cdkzq is
component sxkz is
port(chose_key:in std_logic;
clk_in:in std_logic;
clr:in std_logic;
clk:out std_logic);
end component sxkz;
component xskz is
port(clk:in std_logic;
clr:in std_logic;
led:out std_logic_vector(15 downto 0));
end component xskz;
signal s1:std_logic;
begin
VGA<=“0110”;
u1:sxkz port map(chose_key,clk_in,clr,s1);
u2:xskz port map(s1,clr,led);end architecture art;
整個(gè)電路CDKZQ系統(tǒng)的仿真圖如下;
整個(gè)電路CDKZQ系統(tǒng)是把SXKZ與XSKZ綜合成一個(gè)電路。
五、總體設(shè)計(jì)電路圖
花形變化***1——***0——***1——***0——***1——***1——***1循環(huán)變化如下圖所示:第一個(gè)花形;
第二個(gè)花形;
第三個(gè)花形;
第四個(gè)花形;
第五個(gè)花型;
第六個(gè)花形;
六、分析與總結(jié)
用VHDL進(jìn)行設(shè)計(jì),首先應(yīng)該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級(jí),寄存器傳輸級(jí)和邏輯門級(jí)多個(gè)設(shè)計(jì)層次。應(yīng)充分利用VHDH“自頂向下”的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概念,層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常有用的。它使的我們可以從簡單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)。通過使用EDA編程既方便又快捷的實(shí)現(xiàn)了程序本次設(shè)計(jì)的程序已經(jīng)在硬件系統(tǒng)上得到了驗(yàn)證,實(shí)驗(yàn)表明,此設(shè)計(jì)方法能夠滿足多種不同花樣彩燈的變化要求。并且該方法便于擴(kuò)展不同變化模式的彩燈花樣。
電子技術(shù)課程設(shè)計(jì)是配合電子技術(shù)基礎(chǔ)課程與實(shí)驗(yàn)教學(xué)的一個(gè)非常重要的教學(xué)環(huán)節(jié)。他不但能鞏固我們以所學(xué)的電子技術(shù)的理論知識(shí),而且能提高我們的電子電路設(shè)計(jì)水平,還能加強(qiáng)我們綜合分析問題和解決問題的能力。進(jìn)一步培養(yǎng)我們的實(shí)驗(yàn)技能和動(dòng)手能力,啟發(fā)我們的創(chuàng)新思維。
使用VHDL語言設(shè)計(jì)電路,思路簡單,功能明了。使用Max+PlusⅡ設(shè)計(jì)電路不僅可以進(jìn)行邏輯仿真,還可以進(jìn)行時(shí)序仿真,使用PLD不僅省去了電路制作的麻煩,還可以反復(fù)進(jìn)行硬件的實(shí)驗(yàn),非常方便地修改設(shè)計(jì),且設(shè)計(jì)的電路的保密性強(qiáng)??傊?,采用EDA技術(shù)使得復(fù)雜的電子系統(tǒng)的設(shè)計(jì)變的簡單易行,提高了設(shè)計(jì)的效率。在電子電路設(shè)計(jì)領(lǐng)域中,電子設(shè)計(jì)自動(dòng)化(EDA)工具已成為主要的設(shè)計(jì)手段,而VHDL語言則是EDA的關(guān)鍵技術(shù)之一,它采用自頂向下的設(shè)計(jì)方法,即從系統(tǒng)總體要求出發(fā),自上至下地將設(shè)計(jì)任務(wù)分解為不同的功能模塊,最后將各功能模塊連接形成頂層模塊,完成系統(tǒng)硬件的整體設(shè)計(jì)。本文介紹了基于EDA技的多路彩燈控制器的設(shè)計(jì)與分析
七、心得體會(huì)
本次課程實(shí)習(xí)我雖然用了兩個(gè)星期的時(shí)間就全部做完,但整個(gè)過程我都認(rèn)真的完成了,而且從中收獲很多??梢钥偨Y(jié)為以下的幾點(diǎn): 1,對(duì)EDA知識(shí)的鞏固與提高
這次課程設(shè)計(jì)主要是運(yùn)用VHDH設(shè)計(jì)的一些相關(guān)知識(shí),在整個(gè)實(shí)習(xí)過程中,都離不開對(duì)EDA課程知識(shí)的再學(xué)習(xí)。我在最開始,就先將實(shí)習(xí)用到的知識(shí)通過翻閱數(shù)電書回顧了一遍(這也是對(duì)這門課的復(fù)習(xí),給以后的復(fù)習(xí)備考減少了很多負(fù)擔(dān)),這樣的回顧讓我對(duì)知識(shí)的理解更加透徹,對(duì)后來的快速設(shè)計(jì)起了很好的鋪墊作用。
2,學(xué)會(huì)了理論聯(lián)系實(shí)際
課程設(shè)計(jì),通過選擇的題目,根據(jù)要求,運(yùn)用所學(xué)知識(shí)將其付諸實(shí)踐來完成。這并不是在課堂上的單純聽懂,或者課后看書過程中的深入理解,這需要的是一種理論聯(lián)系實(shí)踐的能力。理論知識(shí)往往都是在一些理想狀態(tài)下的假設(shè)論,而實(shí)際的動(dòng)手操作則完全不同,需要考慮實(shí)際中的很多問題。有些知識(shí)在理論上可能完全沒錯(cuò)但到了實(shí)際中則不然。比如在動(dòng)筆做題時(shí)我們是不用考慮導(dǎo)線的電阻的,但在實(shí)際中,導(dǎo)線電阻有時(shí)是會(huì)帶來時(shí)延造成花型變化的錯(cuò)亂,所以我們應(yīng)盡量在連接電路時(shí)選擇最短路徑。
3,學(xué)會(huì)了如何運(yùn)用電路板、芯片、導(dǎo)線等組裝各種功能的電路;
雖然這不是第一次用電路板,因?yàn)橹暗恼n內(nèi)實(shí)驗(yàn)也用過,但當(dāng)時(shí)的運(yùn)用也只是插些導(dǎo)線和電阻電容之類的,用了電路板的很小部分。這次的實(shí)習(xí)中應(yīng)用了整塊板子,實(shí)習(xí)后對(duì)電路板的組成完全了解了,并能熟練運(yùn)用。實(shí)習(xí)中通過對(duì)電路的連接也懂得了如何通過設(shè)計(jì)的分析對(duì)所連電路的整體布局,如何更好的設(shè)計(jì)模塊將它放在最合適的位置。一個(gè)完美的作品不僅要能很好的完成要求實(shí)現(xiàn)功能,還要在感官上給人美的享受。所以站在美的角度對(duì)自己的電路進(jìn)行改良是很必要的。
4,和同學(xué)的互相協(xié)作共同進(jìn)步
在實(shí)習(xí)中經(jīng)常會(huì)遇到一些自己可能暫時(shí)無法想明白的問題,請(qǐng)教同學(xué)或老師是很好的做法,節(jié)省時(shí)間也會(huì)從別人上上學(xué)到更多。在設(shè)計(jì)時(shí)和同學(xué)相互交流各自的想法也是很重要的,不同的人對(duì)問題的看法總有差異,我們可以從交流中獲得不同的idea,其他人的設(shè)計(jì)一定有比你出色的地方,很好的借鑒,并在大家的商討中選擇最優(yōu)方案最終一定會(huì)得到最好的設(shè)計(jì)方法。5,其他
課程實(shí)習(xí)設(shè)計(jì)是開端,設(shè)計(jì)是關(guān)鍵,測(cè)試是必須。所以實(shí)現(xiàn)過程中不僅要求對(duì)知識(shí)的掌握要足夠準(zhǔn)確與精通,更要有絕對(duì)的耐心與細(xì)心。設(shè)計(jì)模塊電路時(shí)一定按照自己的設(shè)計(jì)圖仔細(xì)設(shè)計(jì)這會(huì)對(duì)后面的測(cè)試起到很好的鋪墊作用。在后面查錯(cuò)時(shí)就不用花費(fèi)精力在檢查上,可以給減少很多后續(xù)工作。我在這次的實(shí)習(xí)中其實(shí)也有連錯(cuò)線的時(shí)候,但我很快檢查出來調(diào)整了電路,結(jié)果測(cè)試電路后花型顯示完全正確。沒有費(fèi)太多的功夫在檢查電路上
通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會(huì)遇到過各種各樣的問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固,最好的辦法就是問別人,因?yàn)槊總€(gè)人掌握情況不一樣,不可能做到處處都懂,發(fā)揮群眾的力量,復(fù)雜的事情就會(huì)變得很簡單。這一點(diǎn)我深有體會(huì),在很多時(shí)候,我遇到的困難或許別人之前就已遇到,向他們請(qǐng)教遠(yuǎn)比自己在那冥思苦想來得快。通過這次彩燈設(shè)計(jì)之后,讓我學(xué)到了很多,不僅是鞏固了先前學(xué)的模電、數(shù)電的理論知識(shí),而且也培養(yǎng)展。
在這次的課程設(shè)計(jì)里深入的接觸了運(yùn)用電子集成元器件制作多路彩燈的過程,并和同學(xué)一起討論用軟件制作出了這一個(gè)多路彩燈控制系統(tǒng)。正所謂“紙上談兵終覺淺,覺知此事要躬行?!睂W(xué)習(xí)任何知識(shí),僅從理論上去求知,而不去實(shí)踐的
第五篇:汽車多路傳輸系統(tǒng)原理介紹
汽車單片機(jī)與局域網(wǎng)技術(shù)作業(yè)
姓名:劉奇
班級(jí):汽檢092
學(xué)號(hào):091602213
指導(dǎo)老師:袁霞
汽車多路傳輸系統(tǒng)的介紹
一、車載總線的概述
CAN-bus(Controller Area Network)即控制器局域網(wǎng),是德國BOSCH公司在80年代初為解決現(xiàn)在汽車中眾多的控制與測(cè)試儀器之間的數(shù)據(jù)交換而開發(fā)的一種串行數(shù)據(jù)通信總線。CAN是一種多主方式的串行通訊總線,基本設(shè)計(jì)規(guī)范要求有高的位速率,高抗電磁干擾性,而且能夠檢測(cè)出任何的錯(cuò)誤,是國際上信用最廣泛的現(xiàn)場(chǎng)總線之一。它可靠性高、性能價(jià)格比高、適應(yīng)性好。國外眾多的汽車,如奔馳,寶馬,大眾等都采用了CAN總線技術(shù)。
二、CAN 總線的特點(diǎn)及組成1.CAN 總線的特點(diǎn)
數(shù)據(jù)總線與其模塊部件組合在一起成為數(shù)據(jù)傳輸系統(tǒng)CAN數(shù)據(jù)傳輸系統(tǒng)的優(yōu)點(diǎn)是:
(1)將傳感器信號(hào)線減至最少,使更多的傳感器信號(hào)進(jìn)行高速數(shù)據(jù)傳遞。
(2)電控單元和電控單元插腳最小化應(yīng)用,節(jié)省電控單元的有限空間。
(3)如果系統(tǒng)需要增加新的功能,僅需軟件升級(jí)即可。
(4)各電控單元的監(jiān)測(cè)對(duì)所連接的CAN 總線進(jìn)行實(shí)時(shí)監(jiān)測(cè),如出現(xiàn)故障該電控單元會(huì)存儲(chǔ)故障碼。
(5)CAN 數(shù)據(jù)總線符合國際標(biāo)準(zhǔn),便于不同廠家的電控單元間進(jìn)行數(shù)據(jù)交換。
2.CAN 總線的組成CAN 數(shù)據(jù)總線由一個(gè)控制器、一個(gè)收發(fā)器、兩個(gè)數(shù)據(jù)傳輸終端以及兩條數(shù)據(jù)傳輸線組成。除數(shù)據(jù)傳輸線以外,其他元件都位于控制單元內(nèi)部。
三、CAN-BUS總線實(shí)現(xiàn)多路傳輸?shù)脑?/p>
CAN 被用來作為汽車電子控制裝置之間的信息交換,使車上的各個(gè)電腦都能進(jìn)行數(shù)據(jù)交流,形成車載網(wǎng)絡(luò)系統(tǒng)。汽車不管有多少塊電控單元,不管信息容量有多大,每塊電控單元都只需引出兩條線共同接在兩個(gè)節(jié)點(diǎn)上,這兩
條導(dǎo)線就稱作數(shù)據(jù)總線,亦稱BUS 線,如圖3-1所示。CAN 數(shù)據(jù)總線可以比作公共汽車,公共汽車可以運(yùn)輸大量乘客,CAN 數(shù)據(jù)總線可以傳輸大量的數(shù)據(jù)信息。我們把這種在同一通道或線路上同時(shí)傳輸多條信息稱為多路傳輸。事實(shí)上數(shù)據(jù)傳
1輸是依次傳輸?shù)?,但是傳輸速度非??欤坪蹙褪峭瑫r(shí)傳輸?shù)?。由于汽車常?guī)線路系統(tǒng)各單元或傳感器之間每項(xiàng)信息通過獨(dú)立的數(shù)據(jù)線進(jìn)行交換,而多路傳輸系統(tǒng)的ECU之間所有信息都通過兩根數(shù)據(jù)線進(jìn)行交換,所以多路傳輸所用導(dǎo)線比常規(guī)線路系統(tǒng)所用導(dǎo)線少得多,并且多路傳輸系統(tǒng)可以通過兩(或一)根數(shù)據(jù)總線執(zhí)行多個(gè)指令,因此可以增加許多功能。電子計(jì)算機(jī)網(wǎng)絡(luò)用“電子語言”來“說話”,各電控單元必須使用和解讀相同的“電子語言”,這種語言稱“協(xié)議”。汽車電腦網(wǎng)絡(luò)常見的傳輸協(xié)議有數(shù)種。新奔馳、寶馬車裝用博世公司產(chǎn)品,數(shù)據(jù)總線采用CAN 協(xié)議,這個(gè)協(xié)議是由福特、Internet 與博世公司共同開發(fā)的高速汽車通信協(xié)議。
3-1 CAN 總線
CAN 數(shù)據(jù)總線的傳輸過程如圖3-2 所示。
(1)提供數(shù)據(jù):控制單元向CAN 控制器提供數(shù)據(jù)用于傳輸。
(2)發(fā)送數(shù)據(jù):CAN 收發(fā)器從CAN 控制器處接收數(shù)據(jù),并將其轉(zhuǎn)化為二進(jìn)制電信號(hào)發(fā)送出去。這些數(shù)據(jù)以數(shù)據(jù)列的形式進(jìn)行傳輸。
(3)接收數(shù)據(jù):CAN 網(wǎng)絡(luò)系統(tǒng)所有的控制單元的收發(fā)器都接收數(shù)據(jù)。
(4)檢驗(yàn)數(shù)據(jù):控制單元對(duì)接收到的數(shù)據(jù)進(jìn)行檢測(cè),看此數(shù)據(jù)是否是其功能所需要。
(5)認(rèn)可數(shù)據(jù):如果接收到的數(shù)據(jù)是有用的,將被認(rèn)可及處理,反之將其忽略。
3-2數(shù)據(jù)傳輸過程
四、CAN-BUS總線在一汽大眾上的使用
一汽大眾生產(chǎn)的寶來(BORA)轎車即采用了這種局城網(wǎng)絡(luò)控制系統(tǒng),Can-Bus技術(shù)BORA上的應(yīng)用,減少了BORA轎車車體內(nèi)線束和控制器的接口數(shù)量,避免了過多線束存在的互相干涉、磨損等隱患,降低了BORA轎車電氣系統(tǒng)的故障發(fā)生率。在BORA轎車內(nèi),各種傳感器的信息可以實(shí)現(xiàn)共享。另外,在Can-Bus技術(shù)的幫助下,BORA轎車的防盜性、安全性都得到了較大幅度提升。例如,在啟動(dòng)車輛時(shí),確認(rèn)鑰匙合法性的信息會(huì)通過Can-Bus總線進(jìn)行傳遞,其校驗(yàn)的信息比以往的防盜系統(tǒng)更為豐富。車鑰匙、發(fā)動(dòng)機(jī)控制器和防盜控制器互相存儲(chǔ)對(duì)方信息,校驗(yàn)碼中還摻雜了隨即碼,從而大幅提高盜能力。校驗(yàn)信息通過Can-Bus傳遞大幅提高了信息傳遞的可靠性,使防盜系統(tǒng)的工作穩(wěn)定可靠。
五、大眾 CAN 數(shù)據(jù)傳輸系統(tǒng)的故障診斷
當(dāng)查詢出CAN 數(shù)據(jù)總線有故障碼時(shí),應(yīng)該對(duì)該系統(tǒng)進(jìn)行診斷。需要使用的工具和儀表有檢測(cè)盒VAG1598/
31、萬用表VAG1526、成套輔助接線VAG1594 和電路圖。關(guān)閉點(diǎn)火開關(guān),拔下發(fā)動(dòng)機(jī)電控單元插頭,將檢測(cè)盒VAG1598/31 插到電控單元上,此時(shí)不要連接線束插頭。使用萬用表測(cè)量58針與60 針之間的電阻,這是數(shù)據(jù)傳輸終端的電阻,規(guī)定值為60—72 歐姆,如不符合規(guī)定應(yīng)更換發(fā)動(dòng)機(jī)電控單元,如果符合規(guī)定應(yīng)按照電路圖測(cè)量數(shù)據(jù)總線的故障點(diǎn)。
六、結(jié)論
在汽車內(nèi)部采用基于總線的網(wǎng)絡(luò)結(jié)構(gòu),可以達(dá)到信息共享、減少布線、降低成本以及提高總體可靠性的目的。CAN 數(shù)據(jù)傳輸系統(tǒng)將傳感器信號(hào)線減至最少,使更多的傳感器信號(hào)進(jìn)行高速數(shù)據(jù)傳遞;電控單元和電控單元插腳最小化應(yīng)用,節(jié)省電控單元的有限空間;僅需軟件升級(jí)系統(tǒng)就可以增加新的功能;各電控單元的監(jiān)測(cè)對(duì)所連接的CAN 總線進(jìn)行實(shí)時(shí)監(jiān)測(cè),如出現(xiàn)故障該電控單元會(huì)存儲(chǔ)故障碼。CAN 數(shù)據(jù)總線符合國際標(biāo)準(zhǔn),便于一輛車上不同廠家的電控單元間進(jìn)行數(shù)據(jù)交換。