第一篇:數(shù)字邏輯電路課程設(shè)計,拔河游戲機
數(shù)字邏輯電路課程設(shè)計報告
拔河游戲機
摘要
1、任 務(wù) 與 要 求 : 電 子 拔 河 游 戲 機 是 一 種 能 容 納 甲 乙 雙 方 參 賽 或 甲 乙 雙 方加 裁 判 的 三 人 游 戲 電 路。
由 一 排 LED 發(fā) 光 二 極 管 表 示 拔 河 的 的“ 電 子 繩 ”。
由 甲 乙 雙 方 通 過 按 紐 開 關(guān) 使 發(fā) 光 的 LED 管 向 一 方的 終 點 延 伸,當(dāng) 延 伸 到 某 方 的 最 后 一 個 LED 管 時,則 該 方 獲勝,連 續(xù) 比 賽 多 局 以 定 勝 負。
顯示器顯示勝者的盤數(shù)。
2、基 本 要 求 如 下 :
(1)
比 賽 開 始 時,由 裁 判 下 達 命 令 后,甲 乙 雙 方 才 能 輸 入信 號,否 則,由 于 電 路 具 有 自 鎖 功 能,使 輸 入 信 號 無 效。
(2)“ 電 子 繩 ” 到 少 由 15 個 LED 管 構(gòu) 成,裁 判 下 達 “ 開 始 比 賽 ”的 命 令 后,位 于 “ 電 子 繩 ” 中 點 的 LED 點 亮。
甲 乙 雙 方 通 過 按鍵 輸 入 信 號,使 發(fā) 亮 的 LED 管 向 自 己 一 方 移 動,并 阻 止 其 向 對方 延 伸。
當(dāng) 從 中 點 至 自 己 一 方 終 點 的 LED 管 全 部 點 亮 時,表 示比 賽 結(jié) 束。
這 時,電 路 自 鎖,保 持 當(dāng) 前 狀 態(tài) 不 變,除 非 由 裁 判使 電 路 復(fù) 位。
3、方 案 論 證 與 設(shè) 計 電 子 拔 河 游 戲 機 是 一 種 能 容 納 甲 乙 雙 方 參 賽 或 甲 乙 雙 方加 裁 判 的 三 人 游 戲 電 路。
由 一 排 LED 發(fā) 光 二 極 管 表 示 拔 河 的“ 電 子 繩 ”。
游 戲 雙 方 各 擁 有 一 個 比 賽 時 使 用 的 按 鈕 ,當(dāng) 參 與者 不 停 的 按 動 按 鈕 的 時 候 就 產(chǎn) 生 脈 沖 ,誰 快 產(chǎn) 生 的 脈 沖 就 多 ,這 樣 由 甲 乙 雙 方 通 過 按 扭 開 關(guān) 使 發(fā) 光 的 LED 管 向 一 方 的 終 點 延伸,雙 方 通 過 按 扭 輸 入 信 號,使 得 計 數(shù) 電 路 實 現(xiàn) 計 數(shù) 功 能,當(dāng)延 伸 到 某 方 的 最 后 一 個 LED 管 時,則 該 方 獲 勝,連 續(xù) 比 賽 多局 以 定 勝 負。
通 過 設(shè) 計 多 諧 振 蕩 器 提 供 輸 入 脈 沖,用 可 逆 計 數(shù)器,譯 碼 器,將 甲 乙 雙 方 的 輸 入 轉(zhuǎn) 換 為 脈 沖,再 經(jīng) 過 譯 碼,顯示 譯 碼 器 和 七 段 數(shù) 碼 管 實 現(xiàn) 電 路 的 記 分 功 能。
用 開 關(guān) 設(shè) 計 的 裁判 可 以 實 現(xiàn) 電 路 的 記 分 和 清 零 功 能。
目錄概述………………………………………………………………………… ⑴
1.1 設(shè)計思想及說明 ……………………………………………………………⑴系統(tǒng)總體方案 及硬件設(shè)計 …………………………………………………… ⑸ 2.1 實驗設(shè)備及各器件功能 ……………………………………………… ⑸~⑼ 2.2 設(shè)計步驟 ……………………………………………………………… ⑼~⑿ 2.3 實驗電路框圖 ……………………………………………………………… ⒀
2.4 作品介紹 …………………………………………………………………… ⒀3 心得體會 ……………………………………………………………………… ⒁ 4 參考文獻 ……………………………………………………………………… ⒂
附 附 1 1 :系統(tǒng)原理圖 …………………………… ………………………………… ⒃
一
概述 1.1 設(shè)計思想及說明
電子拔河游戲機是一種能容納甲乙雙方參賽或甲乙雙方加裁判的三人游戲電路。由一排 LED 發(fā)光二極管表示拔河的“電子繩”。游戲雙方各擁有一個比賽時使用的按鈕,當(dāng)參與者不停的按動按鈕的時候就產(chǎn)生脈沖,誰快產(chǎn)生的脈沖就多,這樣由甲乙雙方通過按扭開關(guān)使發(fā)光的 LED 管向一方的終點延伸,雙方通過按扭輸入信號,使得計數(shù)電路分別實現(xiàn)實現(xiàn)加減計數(shù)功能,當(dāng)延伸到某方的最后一個 LED 管時,則該方獲勝,連續(xù)比賽多局以定勝負。
通過設(shè)計甲乙通過輸入單脈沖,用十進制可逆計數(shù)器實現(xiàn)加減,通過譯碼器顯示譯碼器和七段數(shù)碼管實現(xiàn)電路的記分功能,并實現(xiàn)雙方贏盤數(shù)的自動統(tǒng)計。用開關(guān)設(shè)計的裁判可以實現(xiàn)電路的清零功能。
二
系統(tǒng)總體方案及硬件設(shè)計
2.1 實驗設(shè)備及各器件功能1. +5v 直 流 電 源 ; 提 供 拔 河 游 戲 機 的 信 號 輸 入,高 低 電平輸 入,產(chǎn) 生 信 號。
2. 譯 碼 顯 示 器 ; 將 十 進 制 數(shù) 字 信 號 轉(zhuǎn) 化 成 模 擬 信 號,使輸 出 比 賽 結(jié) 果。
3.邏 輯 電平開 關(guān) ;使 能 端,在 每 場 比 賽 結(jié) 束 后 進 行 鎖 定,在 下 場 比 賽 開 始 時 間 解 除 鎖 定,使 比 賽 進 行 以 后 各 場。
4.4 線 - 16 線 譯 碼 /分 配 器 CC4511;將 二 進 制 數(shù) 字 信 號 轉(zhuǎn)化 成 模 擬 信 號,使 發(fā) 光 二 極 管 在 與 到 高 電 勢 時 間 發(fā) 光。
5.同 步 遞 增 /遞 減 二 進 制 計 數(shù) 器 CC40193;CP(u)為 遞增 輸 入 端,CP(d)為 遞 減 輸 入 端。
6. 十 進 制 計 數(shù) 器 74LS90;對 輸 入 信 號 進 行 十 進 制 記 數(shù),記 數(shù) 后 將 其 傳 送 到 譯 碼 顯 示 器 顯 示。
7. 與 非 門 CC4011×3,與 門 CC4081.異 或 門 CC4030,電 阻 1 千 歐 姆 ×4,與 譯 碼 顯 示 器、邏 輯 電平開 關(guān)、譯 碼 分 配器、同 步 遞 增 /遞 減 二 進 制 計 數(shù) 器、十 進 制 計 數(shù) 器 等 各 個 器 件構(gòu) 成 整 個 拔 河 游 戲 機 設(shè) 備。
8. 九 個 發(fā) 光 二 集 管 及 導(dǎo) 線。
R 0(1)CP ACP B R 0(2)R 9(2)R 9(1)NCNC V CCQ A Q D Q B Q CGND1 2 3 4 5 6 714 13 12 11 10 9 8Q A Q D Q BQ CR 9(2)R 9(1)R 0(2)R 0(1)CP BCP A74LS90CP ACP BQ A Q D Q B Q CR 9(2)R 9(1)R 0(2)R 0(1)74LS90B、、74LS 90 管腳分布圖2、利用集成功能組件設(shè)計計數(shù)電路74LS90
2.2
驟 設(shè) 計 步 驟
開 始 我 們 是 設(shè) 計 電 路 圖 完 成 以 上 功 能,但 由 于 部 分 器 件 的缺 乏,而 用 現(xiàn) 有 器 件 實 現(xiàn) 電 路 功 能 相 對 較 復(fù) 雜。
而 且 由 于 按 照設(shè) 計 的 電 路 圖,所 需 元 器 件 較 多,需 使 用 兩 塊 電 路 板,但 是 出現(xiàn) 了 兩 塊 電 板 的 電 壓 不 匹 配,驅(qū) 動 不 足 等 問 題,同 時 線 路 較 復(fù)雜 使 得 排 查 的 工 作 也 很 難。
所 以 后 來 我 們 改 用 了 編 程 實 現(xiàn)。
編 程 原 理 概 要 :
輸 入 :
甲,乙 和 裁 判 的 脈 沖
輸 出 :個 LED 發(fā) 光 二 極 管 輸 入 ; 甲 的 贏 盤 數(shù)(4 位 二 進 制);乙 的 贏 盤 數(shù)(4 位 二 進 制); 在 程 序 內(nèi) 部 實 現(xiàn) 根 據(jù) 裁 判,甲 乙 輸 入 控 制 計 分,譯 碼 等 主 要 功能 程 序 設(shè) 計 中 的 幾 個 關(guān) 鍵 問 題 :
時 鐘 信 號 問 題 :
如 何 實 現(xiàn) 各 相 關(guān) 功 能 模 塊 的 時 鐘 同 步。
首 先 搞 清 楚,引 腳 定 義 中 寄 存 器 屬 性 和 組 合 邏 輯 屬 性 的 不 同???以 作 這 樣 的 理 解 :
寄 存 器 模 式 需 要 時 鐘 信 號 的 控 制,來 一 個時 鐘 脈 沖,發(fā) 生 一 次 改 變。
而 組 合 邏 輯 屬 性 則 可 理 解 為 某 一 時刻 的 輸 出 唯 一 決 定 于 此 時 其 輸 入 的 各 變 量,而 且 可 以 認 為 這 種改 變 在 電 路 內(nèi) 部 是 瞬 時 的,無 延 遲 現(xiàn) 象。
本 程 序 的 核 心 是 如 何 實 現(xiàn) 兩 個 脈 沖 分 別 控 制 一 個 可 逆 計 數(shù) 器的 加 減 記 數(shù),同 時 裁 判 脈 沖 能 對 計 數(shù) 器 進 行 重 置,以 及 重 置 之前 的 自 鎖 功 能。
開 始 時 我 們 是 通 過 引 入 了 一 個 外 部 時 鐘 信 號 CLK,可 逆 計 數(shù) 器的 時 鐘 信 號 =CLK,這 樣 就 可 以 實 現(xiàn) 甲,乙,裁 判 脈 沖 能 在 任 意時 刻 改 變 計 數(shù) 器 的 狀 態(tài),因 為 外 部 時 鐘 脈 沖 是 始 終 不 斷 的,所以 電 路 總 是 在 判 斷 各 輸 入 以 決 定 輸 出。
但 是 碰 到 了 一 個 問 題 :當(dāng) 甲 只 按 了 一 下,LED 燈 直 接 跳 到 了 最 左 邊,也 就 是 內(nèi) 部 計 數(shù)器 一 下 減 到 了 0,而 不 是 按 一 下 只 減 1。
分 析 現(xiàn) 象,出 現(xiàn) 這 樣的 問 題 就 是 由 于 外 部 輸 入 的 CLK 信 號 的 頻 率 為 1-100KHZ,也就 是 其 脈 沖 的 周 期 最 大 也 是 微 秒 級 的,甲,乙 的 脈 沖 是 不 可 能實 現(xiàn) 這 樣 的 速 度 的。
例 如 甲 按 下 單 脈 沖 發(fā) 生 器 0.1 秒,而 技 術(shù)器 時 鐘 已 經(jīng) 過 去 100 以 上 的 周 期,也 就 是 說 計 數(shù) 器 減 了 100 次(當(dāng) 然 只 減 了 4 電 路 就 自 鎖 了)。
后 來 我 們 也 考 慮 過 通 過 分 頻 將 高 頻 信 號 分 頻 成 低 如 幾 HZ 的 低頻 信 號,但 是 分 析 后 發(fā) 現(xiàn) 這 是 不 實 際 的,而 且 在 時 序 上 仍 然 存在 問 題。
因 為 即 使 時 鐘 信 號 低 到 能 適 應(yīng) 甲 乙 脈 沖 的 頻 寬,但 是仍 會 因 為 周 期 過 大,電 路 反 應(yīng) 過 慢,LED 移 動 緩 慢 的 缺 陷。
通 過 長 時 間 的 分 析,這 期 間 對 寄 存 器 和 組 合 邏 輯 模 式 的 電 路 內(nèi)部 運 作 的 理 解 有 了 質(zhì) 的 提 高。
得 出 了 以 下 的 最 終 解 決 方 案 可 逆 計 數(shù) 器 原 始 狀 態(tài) 輸 出 4 位 二 進 制 數(shù) 0000,經(jīng) 譯 碼 器輸 出 使 中 間 的 一 只 發(fā) 光 二 極 管 發(fā) 亮。當(dāng) 按 動 A、B 兩 個 按 鍵 時,分 別 產(chǎn) 生 兩 個 脈 沖 信 號,經(jīng) 整 形 后 分 別 加 到 可 逆 計 數(shù) 器,可 逆計 數(shù) 器 輸 出 的 代 碼 經(jīng) 譯 碼 器 譯 后 驅(qū) 動 發(fā) 光 二 極 管 點 亮 并 產(chǎn) 生位 移,當(dāng) 亮 點 移 到 任 何 一 方 終 端 后,由 于 控 制 電 路 作 用,使 這一 狀 態(tài) 被 鎖 定,而 對 輸 入 脈 沖 不 起 作 用。如 按 到 復(fù) 位 鍵,亮 點又 回 到 中 點 位 置,比 賽 又 可 重 新 開 始。
將 雙 方 終 端 二 極 管 的 正 端 分 別 經(jīng) 兩 個 與 非 門 后 接 至 兩 個二 - 十 進 制 計 數(shù) 器 的 加 計 數(shù) 端,當(dāng) 任 一 方 取 勝,該 方 終 端 二 極管 發(fā) 亮,產(chǎn) 生 一 個 下 降 沿 使 其 對 應(yīng) 的 計 數(shù) 器 計 數(shù)。這 樣,計數(shù) 器 的 輸 出 即 顯 示 了 勝 者 取 勝 的 盤 數(shù)。
1.計 數(shù) 器 可 逆 計 數(shù) 器 要 有 兩 個 輸 入 端,四 個 輸 出 端,要 進 行 加 /減 計數(shù),因 此 選 用 CC40193 雙 時 鐘 二 進 制 同 步 加 /減 計 數(shù) 器 來完 成。
2.整 形 電 路 CC40193 是 可 逆 計 數(shù) 器,控 制 加 減 的 CP 脈 沖 分 別 加 至5 腳 和 4 腳,此 時 當(dāng) 電 路 要 求 進 行 加 法 計 數(shù) 時,減 法 輸 入 端 CPd 須 為 高 電平;減 法 計 數(shù) 時,加 法 輸 入 CPu 也 必 須 為 高 電平,若 直 接 由 A、B 鍵 產(chǎn) 生 的 脈 沖加 到 5 腳 或 4 腳,那 么 就 有 很 多 時 機 在 進 行 計 數(shù) 輸 入 時 另 一 計數(shù) 輸 入 端 為 低 電平,使 計 數(shù) 器 不 能 計 數(shù),雙 方 按 鍵 均 失 去 作 用,拔 河 賽 不 能 正 常 進 行。
加 一 整 形 電 路,使 A、B 鍵 出 來 的 脈 沖經(jīng) 整 形 后 變 為 一 個 占 空 比 很 大 的 脈 沖,這 樣 就 減 少 了 進 行 某 一計 數(shù) 時 另 一 計 數(shù) 輸 入 為 低 電平的 可 能 性,從 而 使 每 一 次 鍵 都 有可 能 進 行 有 效 的 計 數(shù)。
整 形 電 路 是 由 兩 個 與 門 CC4081 和 4個 與 非 門 CC4011 來 實 現(xiàn) 其 相 應(yīng) 功 能。
3.譯 碼 電 路
選 用 4 線 - 16 線 CC4514 譯 碼 器。
譯 碼 器 的 輸 出Q0~Q14 分 接 9 個 發(fā) 光 二 極 管,二 極 管 的 負 端 接 地,而 正 端 接譯 碼 器 ; 這 樣,當(dāng) 信 號 輸 出 為 高 電平時 發(fā) 光 二 極 管 點 亮。
比 賽 準(zhǔn) 備,譯 碼 器 輸 入 為 0000,Q0 輸 出 為 0,中 心處 二 極 管 首 先 點 亮,當(dāng) 編 碼 器 進 行 加 法 計 數(shù) 時,亮 點 向 右 移,進 行 減 法 計 數(shù) 時,亮 點 向 左 移。
4.控 制 電 路 為 指 示 出 誰 勝 誰 負,需 用 一 個 控 制 電 路。當(dāng) 亮 點 移 到 任 何一 方 的 終 端 時,判 該 方 為 勝,此 時 雙 方 的 按 鍵 均 宣 告 無 效。此時 電 路 可 用 一 個 異 或 門 CC4030 和 一 個 與 非 門 CC4011 來 實現(xiàn)。將 雙 方 終 端 二 極 管 的 正 極 接 至 異 或 門 的 兩 個 輸 入 端,負 極接 至 兩 個 與 非 門 的 兩 個 斷 口,當(dāng) 獲 勝 一 方 為“ 1”,而 另 一 方 則為 “ 0”,異 或 門 輸 出 為“ 1”,經(jīng) 非 門 產(chǎn) 生 低 電平“ 0”,再 送 到 計 數(shù)器 的 置 數(shù) 端 PE,于 是 計 數(shù) 器 停 止 計 數(shù),處 于 預(yù) 置 狀 態(tài),使 計數(shù) 器 對 輸 入 脈 沖 不 起 作 用。
5.勝 負 顯 示 將 雙 方 終 端 二 極 管 正 極 經(jīng) 與 非 門 后 的 輸 出 端 分 別 接 到 兩 個74LS90 計 數(shù) 器 的 A 端,74LS90 的 四 組 4 位 BCD 碼 分 別 接 到實 驗 裝 置 的 四 組 譯 碼 顯 示 器 的 A、B、C、D 插 入 口。當(dāng) 一 方 取勝 時,該 方 終 端 二 極 管 發(fā) 亮,同 時 相 應(yīng) 的 數(shù) 碼 管 進 行 加 一 計 數(shù),于 是 就 是 到 了 雙 方 取 勝 次 數(shù) 的 顯 示。
6.復(fù) 位
為 能 進 行 多 次 比 賽,需 要 進 行 復(fù) 位 操 作,使 亮 點 返 回中 心 點,可 用 一 個 開 關(guān) 控 制 CC40193 的 清 零 端 即 可。
勝 負 顯 示 器 的 復(fù) 位 也 應(yīng) 用 一 個 開 關(guān) 來 控 制 勝 負 計 數(shù)器 的 清 零 端 R,使 其 重 新 計 數(shù)。
2.3
實驗電路
2.4
作品介紹
嚴(yán) 格 說 來,我 們 組 的 作 品 只 是 在 功 能 上 實 現(xiàn) 了 拔 河 機 的 拔河 功 能,雖 然 算 不 上 真 正 意 義 上 的 拔 河 游 戲 機,但 這 次 設(shè) 計 實驗 不 失 為 一 次 有 意 義 的 挑 戰(zhàn)。
本 作 品 為 數(shù) 字 電 子 產(chǎn) 品,準(zhǔn) 確 而且 靈 敏 度 高,不 會 因 為 電 路 耗 能 或 模 擬 元 件 本 身 的 原 因 造 成 響應(yīng) 緩 慢、準(zhǔn) 確 度 低 等 缺 陷。
使 用 說 明 首 先,要 接 如 5V 的 直 流 電 源 使 電 路 通 電,使 用復(fù) 位 開 關(guān) 將 甲 乙 贏 盤 數(shù) 重 置,然 后,裁 判 按 下 開 始 將 程 序 內(nèi) 部核 心 加 減 計 數(shù) 器 重 新 置 開 始 位,儀 器 便 可 開 始 工 作,用 甲 乙 兩個 脈 沖 開 關(guān) 實 現(xiàn) 電 路 的 “ 拔 河 ”,當(dāng) 某 端 的 最 后 一 個 發(fā) 光 LED管 亮 起 時,說 明 一 局 比 賽 結(jié) 束,通 過 按 下 裁 判 的 復(fù) 位 按 鍵 來 進行 下 一 場 比 賽,在 數(shù) 碼 管 中 顯 示 了 比 賽 的 結(jié) 果。
可逆計數(shù)器 按鍵 A B 整形電路 選擇開關(guān) 譯碼器 取勝計數(shù)器 取勝顯示 控制電路 中心點顯示 復(fù)位
三
心得體會
此 次 電 子 系 統(tǒng) 設(shè) 計 中,我 們 投 入 了 最 大 的 熱 情 和 精 力,從設(shè) 計 電 路 圖,選 擇 元 器 件,在 實 驗 箱 上 組 合,每 一 個 過 程 都 經(jīng)過 了 大 家 的 共 同 探 討,其 過 程 中 出 現(xiàn) 了 不 少 的 問 題,我 們 沒 有氣 餒,沒 有 退 縮,我 們 積 極 向 同 學(xué) 和 老 師 請 教,并 且 一 遍 又 一遍 的 重 復(fù) 實 踐,直 到 我 們 期 望 的 結(jié) 果 實 現(xiàn)。
事 實 也 證 明 我 們 的努 力 沒 有 白 費,認 真 嚴(yán) 謹(jǐn) 的 實習(xí)態(tài) 度 給 我 們 帶 來 了 成 功 的 喜悅!
通 過 這 次 電 子 系 統(tǒng) 設(shè) 計,我 們 掌 握 了 設(shè) 計 一 個 數(shù) 字 電 路 的基 本 方 法 和 基 本 步 驟,實 際 解 決 了 設(shè) 計 中 出 現(xiàn) 的 問 題,增 強 了尋 找 問 題,解 決 問 題 的 能 力。
此 次 電 子 設(shè) 計 的 成 功 不 僅 幫 助 我們 更 好 地 掌 握 書 本 知 識,尤 其 重 要 的 是 增 強 了 我 們 的 自 信,培養(yǎng) 了 我 們 獨 立 思 考 的 能 力。
嚴(yán) 格 說 來,我 們 組 的 作 品 只 是 在 功 能 上 實 現(xiàn) 了 拔 河 機 的 拔河 功 能,雖 然 算 不 上 真 正 意 義 上 的 拔 河 游 戲 機,但 這 次 設(shè) 計 實驗 不 失 為 一 次 有 意 義 的 挑 戰(zhàn)。
參考文獻
[1] 閻石主編:《數(shù)字電子電路》,北京,中央廣播電視大學(xué)出版社,1993 年 [2] 李國麗 朱維勇 《電子技術(shù)實驗指導(dǎo)書》 中國科技大學(xué)出版社 [3] 蔡惟錚主編:《數(shù)字電子線路基礎(chǔ)》,哈爾濱,哈爾濱工業(yè)大學(xué)出版社,1988 年 [4] 李 士雄、丁康源主編:《數(shù)字集成電子技術(shù)教程》,北京,高等教育出版社,1993 年 [5] 歐陽星明:《數(shù)字邏輯》華中科技大學(xué)出版社,2005 年 年3 月
K Ω附 2
系統(tǒng)原理圖
&
Cc40193
& & & & & & & & & & =1 & & 日 日 日 日 Cc4511 D C B A Cc4511 D C BA Cc4511 D C B A Cc4511 D C B A
74LS90
Cc4514
74LS90
741LS90
74LS90 +5V +5V +5V
第二篇:拔河游戲機課程設(shè)計實驗報告
課程設(shè)計說明書
課程名稱:題
數(shù)字電子技術(shù)課程設(shè)計
班級: 姓名: 學(xué)號: 同組人:
目:拔河游戲機
設(shè)計任務(wù)書
一、設(shè)計題目
拔河游戲機
二、主要內(nèi)容及要求
1.設(shè)計一個模擬拔河游戲比賽的邏輯電路。
2.電路使用15個發(fā)光二極管,開機后只有在拔河繩子中間的發(fā)光二極亮。
3.比賽雙方各持一個按鈕,快速不斷地按動按鈕,產(chǎn)生脈沖,誰按得快,發(fā)光的二極管就向誰的方向移動,每按一次,發(fā)光二極管移動一位。4.亮的發(fā)光二極管移到任一方的終點時,該方就獲勝,此后雙方的按鈕都應(yīng)無作用,狀態(tài)保持,只有當(dāng)裁判按動復(fù)位后,在拔河繩子中間的發(fā)光二極管重新亮。
5.用七段數(shù)碼管顯示雙方的獲勝盤數(shù)。
三、進度安排
1.認真思考和理解所選題目的有關(guān)要求,大致知道要求做什么。
2.根據(jù)實驗的設(shè)計要求,到圖書館或上網(wǎng)查找相關(guān)的資料,了解拔河游戲機的工作原理。3.學(xué)習(xí)數(shù)字電路中觸發(fā)器、計數(shù)器、譯碼顯示器等單元電路的設(shè)計及綜合應(yīng)用,掌握邏輯電路的設(shè)計與測試方法,等。
4.綜合相關(guān)的資料,設(shè)計實驗方案。
5.根據(jù)所設(shè)計方案,用仿真軟件進行電路仿真。6.根據(jù)要求撰寫實驗報告。
設(shè)計過程
一、設(shè)計任務(wù)分析
本課題的主要任務(wù)是讓拔河游戲機的電平指示燈由中點向我方延伸,而阻止其向?qū)Ψ窖由?。可以設(shè)想用可預(yù)置的加/減計數(shù)器作主要器件,用計數(shù)器的輸出狀態(tài)通過譯碼器控制電平指示燈的顯示狀態(tài)。如當(dāng)計數(shù)器進行加法計數(shù)時,發(fā)亮的電平指示燈向甲方延伸,相反,進行減法計數(shù)時,發(fā)亮的電平指示燈向相反方向移動。當(dāng)移動到一方的終點就就把電路鎖定,此時雙方按鍵均無作用,只有裁判員按了復(fù)位按鍵雙方才能繼續(xù)下一盤的比賽,而計數(shù)器就記錄雙方的獲勝的次數(shù)。
二、總體方案設(shè)計
2.1 設(shè)計思路:
(1)本課題所設(shè)計的拔河游戲機由15個電平指示燈排列成一行,開機之后只有中間一個電平指示燈亮,以此作為拔河的中心線,游戲雙方各持一個按鍵,迅速地、不斷地按動產(chǎn)生脈沖,誰按得快,亮點向誰方向移動,每按一次,亮點移動一次。移到任一方終端指示燈點亮,這一方就獲勝,此時雙方按鍵均無作用,輸出保持,只有經(jīng)裁判復(fù)位后才使亮點恢復(fù)到中心線。(2)當(dāng)一局比賽結(jié)束后,由點亮該終點燈的信號使電路封鎖加減脈沖信號的作用.即實現(xiàn)電路自鎖,使加家減脈沖無效。同時,使計分電路自動加分。
(3)控制電路部分應(yīng)能控制由振蕩器產(chǎn)生的脈沖信號進入計數(shù)器的加減脈沖的輸入端,其進入方向則由參賽雙方的按鍵信號決定。2.2 電路原理圖:
2.3 電路工作原理:
可逆計數(shù)器74LS193原始狀態(tài)輸出4位二進制數(shù)0000,經(jīng)譯碼器輸出使中間的一只電平指示燈Y0點亮。當(dāng)按動A、B兩個按鍵時,分別產(chǎn)生兩個脈沖信號,經(jīng)整形后分別加到可逆計數(shù)器上,可逆計數(shù)器輸出的代碼經(jīng)譯碼器譯碼后驅(qū)動電平指示燈點亮并產(chǎn)生位移,當(dāng)亮點移到任何一方終端后,由于控制電路的作用,使這一狀態(tài)被鎖定,而對輸入脈沖不起作用。如按動復(fù)位鍵,亮點又回到中點位置,比賽又可重新開始。
將雙方終端指示燈的正端分別經(jīng)兩個與非門后接到2個十進制計數(shù)器74ls160的使能端EN,當(dāng)任一方取勝,該方終端指示燈點亮,產(chǎn)生1個下降沿使其對應(yīng)的計數(shù)器計數(shù)。這樣,計數(shù)器的輸出即顯示了勝者取勝的盤數(shù)。2.4 各單元電路的設(shè)計: 1.編碼電路的設(shè)計:
由雙時鐘二進制同步可逆計數(shù)器74LS193構(gòu)成,它有2個輸入端,4個輸出端,能進行加/減計數(shù)。通過編碼器來控制電平指示燈的顯示,加計數(shù)時向右移動,進行減計數(shù)時,向相反方向移動。電路圖如下:
2.譯碼電路:
由2個38譯碼器組成4線-16線譯碼器。譯碼器的輸出Y0~Y15中選15個接電平指示燈,電平指示燈的負端接地,而正端接譯碼器;這樣,當(dāng)輸出為高電平時電平指示燈點亮。比賽準(zhǔn)備,譯碼器輸入為0000,Y0輸出為1,中心處指示燈首先點亮,當(dāng)編碼器進行加法計數(shù)時,亮點向右移,進行減法計數(shù)時,亮點向左移。電路圖如下圖所示:
3.勝負顯示:
由計數(shù)器74ls160和譯碼顯示器構(gòu)成。將雙方終端指示燈正極經(jīng)與非門輸出后分別接到2個74ls160計數(shù)器的EN端,74ls160的兩組4位BCD碼分別接到實驗箱中的兩組譯碼顯示器的8、4、2、1插孔上。當(dāng)一方取勝時,該方終端指示燈發(fā)亮,產(chǎn)生一個上升沿,使相應(yīng)的計數(shù)器進行加一計數(shù),于是就得到了雙方取勝次數(shù)的顯示,若1位數(shù)不夠,則進行2位數(shù)的級連。電路圖如下
4.復(fù)位控制:
74LS193的清零端CR接一個電平開關(guān),作為一個開關(guān)控制,進行多次比賽而需要的復(fù)位操作,使亮點返回中心點。
74ls160的清零端RD也接一個電平開關(guān),作為勝負顯示器的復(fù)位來控制勝負計數(shù)器使其重新計數(shù)。其中74ls160功能表下表所示
5.仿真結(jié)果:
三、元器件的使用:
3.1元器件的選用:
74ls138 3線—8線譯碼器 2片 74ls160 同步十進制計數(shù)器 4片 74LS193 同步二進制可逆計數(shù)器 1片 74LS04 六非門
1片 電阻:
若干
電容
若干 發(fā)光二極管
15個 LED顯示器
4個 3個 開關(guān)
四、總結(jié)
4.1 實驗評價:
本課題所設(shè)計的拔河游戲機由15個電平指示燈組成“電子繩”,由可逆計數(shù)器74LS193構(gòu)成編碼電路,由譯碼器74ls138構(gòu)成譯碼器電路,由計數(shù)器74ls160作為勝負的顯示。但不難想象,73LS193可以由兩片的74LS192組成16進制計數(shù)器所代替,這一點是可以改進的。還有電路的主體部分還可以用移位寄存器所代替,由于時間緊張,留待以后思考。4.2 心得體會:
這次的課程設(shè)計雖然短暫,但讓我們學(xué)到了很多,那是課本上無法學(xué)到的。通過這次課程設(shè)計,我明白了理論與實踐相結(jié)合的重要性,理論固然重要,但是實踐才是檢驗真理的唯一標(biāo)準(zhǔn),使我們加強了動手、思考和解決問題的能力,了解了一般電子電路的設(shè)計方法,培養(yǎng)了初步獨立設(shè)計的能力。在設(shè)計過程中,通過查閱書籍和文獻資料,進一步熟悉了常用電子器件的類型和特性,并掌握合理選用的原則,進一步掌握了電子儀器的正確使用方法。還記得在設(shè)計的時候曾經(jīng)遇到過各種各樣的問題,比如;在設(shè)計計數(shù)器時計數(shù)器并沒有像我們想象的那樣計數(shù),經(jīng)過了很多次的更改和嘗試,我們才逐漸一步一步的接近最終結(jié)果,比如;觸發(fā)器的運用還不怎么熟悉,對73LS193計數(shù)器的邏輯功能還是不怎么了解,對于自己基礎(chǔ)知識還是不夠扎實,實踐經(jīng)驗還是比較缺乏,理論聯(lián)系實際的能力還待急需提高。做課程設(shè)計同時也是對課本知識的鞏固和加強,由于課本上的知識太多,平時課間的學(xué)習(xí)并不能很好的理解和運用,現(xiàn)在有些溫故知新的感覺。
通過這次的課設(shè),我覺得自己在數(shù)電的理論知識上,又成長了許多,也對芯片本身能與書本上的真正結(jié)合了起來,實驗的成功讓我收獲了喜悅。同時,也讓我明白了我們每一個人永遠不能滿足于現(xiàn)有的成就,我們應(yīng)該在課堂中與實驗中學(xué)會總結(jié),學(xué)會自我認知,向著更高的目標(biāo)努力,經(jīng)過這次的課程設(shè)計,一方面加深了我對課本理論的認識,另一方面也提高了我的實驗,操作能力,讓我受益匪淺。
參考文獻:
1、《電子技術(shù)基礎(chǔ) 實驗與課程設(shè)計》 高吉祥主編 電子工業(yè)出版社
2、《電子線路實驗》(第二版)方建中主編 浙江大學(xué)出版社
3、《數(shù)字電路 設(shè)計與制作》 彭軍譯主編 科學(xué)出版社
4、《電子技術(shù)基礎(chǔ)(數(shù)字部分)》第四版 康華光主編 高等教育出版社
5、《電子技術(shù)課程設(shè)計指導(dǎo)》 彭芥華主編 高等教育出版社 6網(wǎng)絡(luò)
第三篇:大連海事大學(xué)數(shù)字邏輯電路課程設(shè)計實驗總結(jié)報告
數(shù)字邏輯電路課程設(shè)計實驗總結(jié)報告 題目一:用J-K觸發(fā)器設(shè)計13進制加法計數(shù)器
一、設(shè)計過程:參見設(shè)計實驗報告(真值表,卡諾圖)。
二、邏輯電路圖:
三、電路圖描述:
4個J-K觸發(fā)器同步接法,每一位J-K觸發(fā)器的輸出端經(jīng)與非門與燈泡連接(具體c參見設(shè)計報告卡諾圖下表達式),4個小燈泡代表4位2進制數(shù),左邊為高位,右邊為低位,小燈泡的亮、滅分別代表“1”,“0”。
四、實驗結(jié)果:
小燈泡由“滅滅滅亮”依次到“亮滅亮滅”,然后到“滅滅滅滅”,代表“0001”依次累加到“1010”然后清零為“0000”,實現(xiàn)0~12,模13加法計數(shù)器。
題目二:用74LS194實現(xiàn)M=10序列為1100110101
一、設(shè)計過程:參見設(shè)計實驗報告。
二、邏輯電路圖:
三、電路圖描述:
由74LS194雙向移位寄存器產(chǎn)生M=10的1100110101。由Q3,Q2,Q1,Q0代表194四位輸出端。燈的亮滅代表10,最右邊的燈代表F,即代表所產(chǎn)生的序列。
四、實驗結(jié)果
Q3,Q2,Q1,Q0從“1100”到“1110”成一循環(huán),F(xiàn)的值與之依次對應(yīng)。參見設(shè)計報告真值表。
題目三:用74LS163設(shè)計0~98以內(nèi)的數(shù)顯電路。
一、設(shè)計過程:參見設(shè)計實驗報告
二、邏輯電路圖
三、電路描述:
兩位74LS163芯片分別代表56進制高地位。低位需要在9即“1001”,以及高位為5(“0101”)、低位為5(“0101”)兩個狀態(tài)清零,通過與非門控制。高位僅在5時(“0101”)時清零。
四、實驗結(jié)果
從“00”開始計數(shù)直到“55”清零。
題目四:用74LS163和74LS151設(shè)計M=10序列為0011001101序列
一、設(shè)計過程:參見設(shè)計實驗報告
二、邏輯電路圖
三、電路描述:
由74LS163,74LS151兩個芯片組成。163芯片四位輸出端“QD,QC,QB,QA”通過降維(參見設(shè)計報告)與151ABC三位輸入端項鏈。151的8位置數(shù)端所置的數(shù)由降維卡諾圖(參見設(shè)計報告)確定,從M0到M7分別為:0101‘Q0’111。通過163計數(shù),再由151譯碼實現(xiàn)所設(shè)計序列。
四、實驗結(jié)果:
結(jié)果與真值表一一對應(yīng),產(chǎn)生了0011001101的序列信號。真值表參見設(shè)計報告。
題目五:用74LS160設(shè)計電子表,要求:顯示時分秒,有校時、校分功能。
一、設(shè)計過程:參見設(shè)計實驗報告
二、邏輯電路圖:
三、電路圖描述:
因為電子中有時分秒三位,分別為24進制,60進制,60進制。所以使用6個160
芯片分別作三個計數(shù)器級聯(lián)做成電子鐘。分位,秒位均為六十進制,且160為模
10計數(shù)器,所以在設(shè)置清零信號時不用考慮低位,只需考慮高片應(yīng)在6(0110)時
清零且進位。時位為24進制,故低位應(yīng)在9(1001)以及高位為2(0010)同時低
位為4(0100)時清零。整個電路為異步接法,由秒位的低位一次上產(chǎn)生進位信號。調(diào)時調(diào)分功能由一個單刀雙擲開關(guān)和一個脈沖按鍵串聯(lián)而成。設(shè)置脈沖按鍵的兩端 使之按一下即輸出一個高電平,調(diào)時時先將單刀雙擲打到與脈沖按鍵串聯(lián),按一個按鍵產(chǎn)生一個高電平,數(shù)碼管低位記一次數(shù),實現(xiàn)調(diào)試功能。
四、實驗結(jié)果:電子表從00:00:00開始計時,到23:59:59清零。
題目
六、交通信號控制燈(選做)
一、設(shè)計過程:見設(shè)計實驗報告
二、邏輯電路圖:
三、電路描述:
由數(shù)碼管,紅綠燈,160加法計數(shù)器,192減法計數(shù)器構(gòu)成。160為控制芯片,控制紅綠燈以及192減法計數(shù)器。192減法計數(shù)器實現(xiàn)倒計時并由數(shù)碼管顯示。設(shè)計思路詳見(設(shè)計報告)。
四、實驗結(jié)果:
主路紅燈時,支路綠燈,倒計時35S.主路紅燈時,支路黃燈,倒計時5s 主路綠燈時,支路紅燈,倒計時45s 主路黃登時,支路紅燈,倒計時5s。
總結(jié):
1.你在設(shè)計和試驗中遇到什么問題?
答:在剛開始的設(shè)計過程中對數(shù)邏理論課程中的一些知識點會有生疏和遺忘,導(dǎo)致剛開始設(shè)計時會有無從下手的感覺,但是看一看教材參考書可以很快熟悉起來。還有對一些芯片功能不熟悉,導(dǎo)致設(shè)計起來走了很多彎路,不過從網(wǎng)上,教材,可以找到芯片相應(yīng)的功能表,對設(shè)計有很大的幫助。在做交通信號控制燈設(shè)計實驗時,遇到很大的問題。首先是設(shè)計思路不清晰,不知道需要用什么芯片完成所需要的功能,找到所需芯片了,連線也有一些問題,但是經(jīng)過相關(guān)資料的查閱總能解決,只是時間問題。在實驗的最后調(diào)試過程中,遇到了毛刺影響控制電路對計數(shù)器置數(shù)額現(xiàn)象,這個問題耽誤了整個實驗的進度,因為修改方案就意味著拋棄現(xiàn)有控制電路,而且并不能保證新的控制電路不會出現(xiàn)類似現(xiàn)象,所幸的是在最后老師的指導(dǎo)下,用反演律將邏輯表達式做出變形,在仿真電路上將與門換成或非門,使問題得到解決,節(jié)省了大量時間。
通過本次試驗,我對理論有了更深入的了解與掌握,基本掌握了,上學(xué)期數(shù)邏課程中所涉及的大部分邏輯器件,并將理論運用到實踐,印象深刻,此外還對自主學(xué)習(xí),自
主設(shè)計,自主研究有了一定的經(jīng)驗。在連接實物圖中,反復(fù)嘗試,仔細操作的也培養(yǎng)了我們的的細心也耐心。而在自主設(shè)計的交通信號燈電路時,晚上找芯片找設(shè)計思路,反復(fù)嘗試,反復(fù)修改方案直到筆記本沒電,晚上睡覺做夢都在連電路,大清早起來重新理思路,從真值表、卡諾圖、狀態(tài)轉(zhuǎn)移圖重新一步一步做,著實體驗了一把攻關(guān)的狀態(tài),使我在精神意志品質(zhì)上有了顯著地提升。2.上述題目難易程度如何?
相對來說難度不是太大。但是自主設(shè)計題目沒有具體要求,使設(shè)計時沒有大方向,難度全看自己的設(shè)計方案。
第四篇:數(shù)字邏輯電路學(xué)習(xí)總結(jié)
數(shù)字邏輯電路學(xué)習(xí)總結(jié)
學(xué)
號:
、姓
名:
學(xué)
院:
專
業(yè):
數(shù)字邏輯電路學(xué)習(xí)總結(jié)
經(jīng)過一學(xué)期的學(xué)習(xí),我對數(shù)字邏輯電路這門課程總結(jié)如下: 一:數(shù)字邏輯電路緒論及基礎(chǔ)
1.?dāng)?shù)字信號與模擬信號的區(qū)別(數(shù)值和時間的連續(xù)性與不連續(xù)性)2.?dāng)?shù)字電路特點:電路結(jié)構(gòu)簡單,便于集成化;工作可靠,抗干擾能力強;信息便于長期保存和加密;產(chǎn)品系列全,通用性強,成本低;可進行數(shù)字運算和邏輯運算。
3.?dāng)?shù)制轉(zhuǎn)換(二進制、八進制、十六進制、8421BCD碼)
十~二:右→左,每三位構(gòu)成一位八進制,不夠補0
二~八:右←左,每一位構(gòu)成三位二進制
八~二:右→左,每四位構(gòu)成一位十六進制,不夠補0
十六~二:右 →左,每一位構(gòu)成一位二進制
十~8421BCD:每一位組成8421BCD碼 4.二進制運算(0+0=0,0+1=1,1+1=1 0)
5.基本邏輯門(與門、或門、非門、與非門、或非門、異或、同或)
與門:F=ABC
或門:F=A+B+C
非門:F|
與非門:(AB)| 或非門:F=(A+B)| 異或門:F=A|B+AB|=A(+)B 同或門:F=AB+A|B|=A(*)B 6.邏輯代數(shù)基本公式及定理
7.最大項與最小項(為互補關(guān)系)8.邏輯函數(shù)化簡(代數(shù)法和卡諾圖法)卡諾圖包圍圈盡量大,個數(shù)盡量小,要全部包圍,包含2^n個方格
二:組合邏輯電路
1.組合邏輯電路的分析與設(shè)計
任一時刻的輸出只取決于同一時刻輸入狀態(tài)的組合,而與電路原有的狀態(tài)無關(guān)的電路
分析:寫出表達式,列出真值表,根據(jù)化簡函數(shù)式說明邏輯功能 設(shè)計:列出真值表,寫出邏輯函數(shù),化簡,畫邏輯圖 2.半加器與全加器的區(qū)別(考慮是否進位)
3.編碼器(二~十進制編碼器P120、優(yōu)先編碼器P134)8-3優(yōu)先編碼器
10-4優(yōu)先譯碼器
4.譯碼器(二進制編碼器P140、二至十進制譯碼器P143)3-8譯碼器
5.數(shù)據(jù)選擇器
4選1數(shù)據(jù)選擇器 8選1數(shù)據(jù)選擇權(quán)
三:觸發(fā)器
1.觸發(fā)器 邏輯功能可分:
RS觸發(fā)器 D觸發(fā)器 JK觸發(fā)器 T觸發(fā)器 T’觸發(fā)器 觸發(fā)方式可分:
電平觸發(fā)器 邊沿觸發(fā)器 主從觸發(fā)器 電路結(jié)構(gòu)可分:
基本RS觸發(fā)器 同步觸發(fā)器 維持阻塞觸發(fā)器 主從觸發(fā)器 邊沿觸發(fā)器 2.觸發(fā)器的轉(zhuǎn)換
公式法和圖形法(了解觸發(fā)器的邏輯符號,對比表達式的特性,畫出邏輯圖)
說明:真值表
表達式
約束條件
CP脈沖有效區(qū)
實現(xiàn)的功能
各觸發(fā)器的轉(zhuǎn)換波形圖的畫法 四:時序邏輯電路
1.同步時序邏輯電路的分析與設(shè)計
分析:確定電路組成→寫出輸出函數(shù)和激勵函數(shù)的表達式→電路的次態(tài)方程→作狀態(tài)表和狀態(tài)圖→做出波形圖→功能描述→檢查電路是否能自啟動
設(shè)計:確定輸入、輸出及電路狀態(tài)來寫出原始狀態(tài)表和原始狀態(tài)圖化簡原始狀態(tài)表(可用卡諾圖化簡)→進行狀態(tài)賦值(寫出真值表)→選擇觸發(fā)器
2.異步時序邏輯電路分析
寫出激勵函數(shù)表達式→寫出電路的次態(tài)方程組→作狀態(tài)表→做時序圖,說明電路功能
3.計數(shù)器
同步計數(shù)器:同CP
異步計數(shù)器:不同CP 寫出時序方程、輸出方程、驅(qū)動方程→次態(tài)方程→狀態(tài)計算,列出狀態(tài)表→畫出狀態(tài)圖
功能描述:其實數(shù)字電路在我們生活中有很大的作用,在人們的日常生活中,常用的計算機,電視機,音響系統(tǒng),視頻記錄設(shè)備,長途電話等電子設(shè)備或電子系統(tǒng),無不采用數(shù)字電路或數(shù)字系統(tǒng)數(shù)字電子技術(shù)的應(yīng)用。關(guān)于數(shù)制和碼制學(xué)習(xí),主要涉及進制之間的變換,轉(zhuǎn)換等。當(dāng)然也強調(diào)了二進制的各種運算,以及源碼反碼補碼運用等。幾種常用的編碼,我們主要學(xué)的是BCD碼,還有余3碼。
如果說關(guān)于數(shù)制和碼制學(xué)習(xí)還看不出和數(shù)字電路有何關(guān)系,接下來的邏輯代數(shù)基礎(chǔ)這章更加靠近我們之后的數(shù)字電路學(xué)習(xí)了,對于數(shù)制僅僅只是工具。各種真值表,門電路,邏輯方程等等都全面。本章也有很多需要去記憶的公式定理,比方說基本公式,常用公式以及邏輯代數(shù)的基本定理等等。
邏輯函數(shù)的表示方法有這幾種:
1、邏輯真值表
2、邏輯函數(shù)式
3、邏輯圖
4、波形圖,這些表示方法之間是可以互相轉(zhuǎn)換的。
邏輯函數(shù)的兩種標(biāo)準(zhǔn)形式,最小項和最大項,我們用最小項用的是最多。由于隨著課程學(xué)習(xí)的深入我們遇到的邏輯函數(shù)表達式越來越復(fù)雜,自然需要化簡來實現(xiàn)公式的簡化,電路的簡化,于是我們學(xué)習(xí)到了卡諾圖化簡法,用卡諾圖化簡法大大提高了我們化簡的效率和準(zhǔn)確率。
在一些實際電路中我們并不需要一些變量,這些變量或許會影響我的結(jié)果或者也不影響,這些變量統(tǒng)稱為無關(guān)項,在函數(shù)表達式中我們稱之為約束項和任意項。對于無關(guān)變量的作用,通常用于化簡以及之后的消除競爭——冒險現(xiàn)象等。
我們有了邏輯代數(shù)這一直接數(shù)字電路基礎(chǔ),之后的組合邏輯電路和時序邏輯電路的分析和設(shè)計,便更加明確和邏輯。
組合邏輯電路學(xué)習(xí)我們才真正意義上開始接觸邏輯電路。組合邏輯電路的邏輯功能是任意時刻的輸出僅僅決定于該時刻的輸入;電路結(jié)構(gòu)則是不含有記憶器件。邏輯功能的描述和之前學(xué)習(xí)表示方法一致,真值表,邏輯方程,邏輯圖和波形圖。對于組合邏輯電路分析方法則是:①逐條寫出電路輸入到輸出的邏輯函數(shù)式;②用公式化簡法和卡諾圖化簡法讓函數(shù)式化簡;③為了更加直觀可以轉(zhuǎn)換為真值表形式;④最后分析結(jié)果。組合邏輯的設(shè)計方法步驟:先邏輯抽象,再寫邏輯函數(shù)式,然后選擇器件類型,轉(zhuǎn)化適當(dāng)形式。
主要的基本組合邏輯電路不多,比如:普通編碼器,優(yōu)化編碼器,譯碼器,顯示譯碼器,數(shù)據(jù)選擇器,加法器(全加器,半加器,一位加法器,多位加法器,多元加法器,超前進位加法器),數(shù)值比較器等等。這些都是我們很常用而且很基本的組合邏輯電路。
對于組合邏輯電路中,競爭——冒險現(xiàn)象可以通過接入濾波電容,引入選通脈沖和修改邏輯設(shè)計來實現(xiàn)消除競爭冒險現(xiàn)象。
第五篇:數(shù)字邏輯電路實驗報告
數(shù)字邏輯電路設(shè)計
--多功能數(shù)字鐘
學(xué)院:計算機科學(xué)與通信工程 專業(yè): 姓名: 學(xué)號:
指導(dǎo)老師:
江蘇大學(xué)計算機10 數(shù)字邏輯電路設(shè)計報告
多功能數(shù)字鐘
一、設(shè)計任務(wù)及要求
(1)擁有正常的時、分、秒計時功能。
(2)能利用實驗板上的按鍵實現(xiàn)校時、校分及清零功能。(3)能利用實驗板上的揚聲器做整點報時。(4)鬧鐘功能
(5)在MAXPLUS II 中采用層次化設(shè)計方法進行設(shè)計。
(6)在完成全部電路設(shè)計后在實驗板上下載,驗證設(shè)計課題的正確性。
二、多功能數(shù)字鐘的總體設(shè)計和頂層原理圖
作為根據(jù)總體設(shè)計框圖,可以將整個系統(tǒng)分為六個模塊來實現(xiàn),分別是計時模塊、校時模塊、整點報時模塊、分頻模塊、動態(tài)顯示模塊及鬧鐘模塊。
江蘇大學(xué)計算機10 數(shù)字邏輯電路設(shè)計報告
(1)計時模塊
該模塊使用74LS160構(gòu)成的一個二十四進制和兩個六十進制計數(shù)器級聯(lián),構(gòu)成數(shù)字鐘的基本框架。二十四進制計數(shù)器用于計時,六十進制計數(shù)器用于計分和秒。只要給秒計數(shù)器一個1HZ的時鐘脈沖,則可以進行正常計時。分計數(shù)器以秒計數(shù)器的進位作為計數(shù)脈沖。
用兩個74160連成24進制的計數(shù)器,原圖及生成的器件如下:
江蘇大學(xué)計算機10 數(shù)字邏輯電路設(shè)計報告
(2)校時模塊
校時模塊設(shè)計要求實現(xiàn)校時,校分以及清零功能。
*按下校時鍵,小時計數(shù)器迅速遞增以調(diào)至所需要的小時位。*按下校分鍵,分計數(shù)器迅速遞增以調(diào)至所需要的分位。*按下清零鍵,將秒計數(shù)器清零。
注意事項:① 在校分時,分計數(shù)器的計數(shù)不應(yīng)對小時位產(chǎn)生影響,因而需要屏蔽此時分計數(shù)器的進位信號以防止小時計數(shù)器計數(shù)。
② 利用D觸發(fā)器進行按鍵抖動的消除,因為D觸發(fā)器是邊沿觸發(fā),在除去時鐘邊沿到來前一瞬間之外的絕大部分時間都不接受輸入,可以消除抖動。
③ 計時采用1HZ的脈沖驅(qū)動計數(shù)器計數(shù),而校時則需要較高頻率的信號驅(qū)動以達到快速校時的目的。因此這兩種脈沖信號就需要兩路選擇器進行選擇,條件即為是否按鍵。
注:D觸發(fā)器用于按鍵的消抖,接更高的頻率用于校時和校分,二路選擇器用于區(qū)分是正常計時還是校時。
江蘇大學(xué)計算機10 數(shù)字邏輯電路設(shè)計報告
數(shù)字,6次一個循環(huán),形成一個掃描序列。利用人眼的視覺暫留則可以同步顯示6個數(shù)字。
注:
CLK為時鐘信號,S為計數(shù)器的小時,F(xiàn)為分,M為秒,SELOUT為六路選擇器,選擇哪個數(shù)碼管工作,SEGOUT為七段譯碼器,使數(shù)碼管顯示數(shù)字。
器件(6)鬧鐘模塊
注意事項:① 設(shè)定的鬧鐘的時間應(yīng)使用新的計數(shù)器進行存儲,與正常的計時互不干擾。
② 與正常計時狀態(tài)的顯示切換。可以設(shè)定一個按鍵,用于選擇是將計時時間還是將鬧鐘時間送至動態(tài)顯示模塊。
③ 應(yīng)實現(xiàn)一個比較模塊,當(dāng)計時到與鬧鐘時間相等時,則驅(qū)動揚聲器鳴叫。
④ 鬧鐘響聲應(yīng)限定在一定時間內(nèi),且在這段時間內(nèi)應(yīng)隨時可以通過按鍵取消鬧時狀態(tài)。
鬧鐘調(diào)時和分以及正常計時與鬧鐘定時之間的選擇原圖及生成的器件如下:
江蘇大學(xué)計算機10 數(shù)字邏輯電路設(shè)計報告
注:
輸入端CLK為時鐘信號,SD清零,NAOZHONG是使計數(shù)器正常計時和鬧鐘定時界面的切換,SE調(diào)鬧鐘的小時,SD調(diào)鬧鐘的分,輸出端即為鬧鐘的小時和分。
鬧鐘界面和正常計時界面的轉(zhuǎn)換器件如下:
注:
S表示計時器的時,F(xiàn)表示計時器的分,M表示計數(shù)器的秒;
SS表示鬧鐘的時,F(xiàn)F表示鬧鐘的分;Q為計時和鬧鐘兩個界面的切換開關(guān),ABC為輸出的時間。
正常計時時間和設(shè)定鬧鐘時間的比較器件如下:
注:
江蘇大學(xué)計算機10 數(shù)字邏輯電路設(shè)計報告
use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60_06 is port(clk:in std_logic;
clear:in std_logic;
c:out std_logic;
k1,k0:out std_logic_vector(3 downto 0));
end cnt60_06;architecture cnt of cnt60_06 is signal q1,q0:std_logic_vector(3 downto 0);begin
process(clk,clear)
begin
if(clear='1')then
q1<=“0000”;q0<=“0000”;c<='0';
else
if(clk'event and clk='1')then
if(q1=“0101” and q0=“1001”)then-----到59
q1<=“0000”;q0<=“0000”;c<='1';
elsif(q1<“0101” and q0=“1001”)then
q0<=“0000”;q1<=q1+'1';c<='0';
elsif(q0<“1001”)then
q0<=q0+'1';
end if;
end if;
end if;
k1<=q1;
k0<=q0;
end process;end cnt;
用VHDL語言寫的報時器源代碼如下:
library ieee;use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;entity alert_06 is port(f1,f0,m1,m0:in std_logic_vector(3 downto 0);
siga,sigb:out std_logic);
end alert_06;
architecture a of alert_06 is begin siga<='1'when(f1=“0101” and f0=“1001” and m1=“0101” and(m0=“0000” or m0=“0010” or m0=“0100” or m0=“0110” or m0=“1000”))else'0';
0
江蘇大學(xué)計算機10 數(shù)字邏輯電路設(shè)計報告
port(clk:in std_logic;
s :in std_logic_vector(7 downto 0);
f :in std_logic_vector(7 downto 0);
m :in std_logic_vector(7 downto 0);
selout:out std_logic_vector(5 downto 0);
segout:out std_logic_vector(6 downto 0));end display_06;
architecture a of display_06 is signal number:std_logic_vector(3 downto 0);signal sel
:std_logic_vector(5 downto 0);signal seg
:std_logic_vector(6 downto 0);signal q
:std_logic_vector(2 downto 0);begin a:process(clk)begin if(clk'event and clk='1')then q<=q+1;end if;end process a;process(q)begin case q is
when“000”=>sel<=“000001”;when“001”=>sel<=“000010”;when“010”=>sel<=“000100”;
when“011”=>sel<=“001000”;when“100”=>sel<=“010000”;when“101”=>sel<=“100000”;when others=>sel<=“000000”;end case;end process;
process begin if sel =“000001”then
number<=m(3 downto 0);elsif sel=“000010”then
number<=m(7 downto 4);elsif sel=“000100”then
number<=f(3 downto 0);elsif sel=“001000”then
number<=f(7 downto 4);
江蘇大學(xué)計算機10 數(shù)字邏輯電路設(shè)計報告
end switch_06;
architecture a of switch_06 is begin process(Q,s,ss,f,ff,m)Begin
if(Q='1')then
A<=ss;B<=ff;C<=“00000000”;
else
A<=s;B<=f;C<=m;
end if;
end process;
end a;正常計時時間和設(shè)定的鬧鐘時間之間的比較的源代碼如下:
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity comp_06 is port(s,ss,f,ff:in std_logic_vector(7 downto 0);d:out std_logic;Q:in std_logic);end comp_06;architecture behavior of comp_06 is
begin process(Q,s,ss,f,ff)begin if(rising_edge(Q))then if(s=ss and f=ff)then d<='1';
else d<='0';end if;end if;end process;end behavior;