欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      數(shù)字邏輯電路實(shí)驗(yàn)報(bào)告(合集5篇)

      時(shí)間:2019-05-12 06:51:56下載本文作者:會(huì)員上傳
      簡(jiǎn)介:寫(xiě)寫(xiě)幫文庫(kù)小編為你整理了多篇相關(guān)的《數(shù)字邏輯電路實(shí)驗(yàn)報(bào)告》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫(xiě)寫(xiě)幫文庫(kù)還可以找到更多《數(shù)字邏輯電路實(shí)驗(yàn)報(bào)告》。

      第一篇:數(shù)字邏輯電路實(shí)驗(yàn)報(bào)告

      《數(shù)字邏輯電路》實(shí)驗(yàn)報(bào)告

      第次實(shí)驗(yàn):

      姓名:

      學(xué)號(hào):

      級(jí)系班

      郵箱:

      時(shí)間:

      正文(由下面八項(xiàng)內(nèi)容評(píng)定每次實(shí)驗(yàn)報(bào)告成績(jī))

      一、實(shí)驗(yàn)?zāi)康谋敬螌?shí)驗(yàn)預(yù)期要學(xué)習(xí)到的知識(shí)、方法等

      二、實(shí)驗(yàn)原理(背景知識(shí))

      本次實(shí)驗(yàn)需要的理論知識(shí)背景、實(shí)驗(yàn)環(huán)境和工具等前期準(zhǔn)備知識(shí),預(yù)習(xí)時(shí)完成的引導(dǎo)性實(shí)驗(yàn)內(nèi)容一般在此有所體現(xiàn)。

      三、實(shí)驗(yàn)器材/環(huán)境

      本次實(shí)驗(yàn)中使用的硬件器材和軟件環(huán)境

      四、實(shí)驗(yàn)設(shè)計(jì)思路(驗(yàn)收實(shí)驗(yàn))

      驗(yàn)收實(shí)驗(yàn)的設(shè)計(jì)流程圖/卡諾圖/真值表/代碼等或其他

      五、實(shí)驗(yàn)過(guò)程(驗(yàn)收實(shí)驗(yàn)的過(guò)程)

      充分截圖,詳細(xì)說(shuō)明實(shí)驗(yàn)過(guò)程步驟等

      六、實(shí)驗(yàn)結(jié)果

      簡(jiǎn)單介紹本次實(shí)驗(yàn)完成的工作,學(xué)到的知識(shí)等。

      七、實(shí)驗(yàn)中遇到的問(wèn)題及解決方案

      請(qǐng)將已經(jīng)解決的問(wèn)題寫(xiě)在這里,沒(méi)有解決的問(wèn)題也可以保留在這里,但是可能不能立即得到回答,沒(méi)有得到回答的問(wèn)題請(qǐng)?jiān)谙乱淮握n時(shí)向老師和助教當(dāng)面提問(wèn)。

      八、實(shí)驗(yàn)的啟示/意見(jiàn)和建議

      1對(duì)本課程或本次實(shí)驗(yàn)的意見(jiàn)建議等,如:實(shí)驗(yàn)內(nèi)容難度,實(shí)驗(yàn)時(shí)間安排,如何提高實(shí)驗(yàn)效果等。

      2對(duì)本次實(shí)驗(yàn)內(nèi)容你有沒(méi)有讓同學(xué)更有興趣的建議,或者如何才能讓你對(duì)本次實(shí)驗(yàn)更有興趣?

      3你有好的與本次實(shí)驗(yàn)有關(guān)的實(shí)驗(yàn)內(nèi)容建議嗎?比如在日常的學(xué)習(xí)和生活中遇到的,可以轉(zhuǎn)換為實(shí)驗(yàn)的內(nèi)容?

      我們將非常感謝你給我們提出意見(jiàn)和建議,這將使我們的課程更加生動(dòng)有效。

      附:本次實(shí)驗(yàn)?zāi)憧偣灿昧硕嚅L(zhǎng)時(shí)間?包括預(yù)習(xí)時(shí)間、和課堂完成時(shí)間。(請(qǐng)大家如實(shí)統(tǒng)計(jì),時(shí)間長(zhǎng)短不影響本次實(shí)驗(yàn)的成績(jī)。這個(gè)主要用于統(tǒng)計(jì)大家的工作時(shí)間,粗略確定實(shí)驗(yàn)的難度,為我們以后的實(shí)驗(yàn)設(shè)計(jì)提供參考。)

      感謝大家的觀看和支持!

      第二篇:數(shù)字邏輯電路實(shí)驗(yàn)報(bào)告

      數(shù)字邏輯電路設(shè)計(jì)

      --多功能數(shù)字鐘

      學(xué)院:計(jì)算機(jī)科學(xué)與通信工程 專(zhuān)業(yè): 姓名: 學(xué)號(hào):

      指導(dǎo)老師:

      江蘇大學(xué)計(jì)算機(jī)10 數(shù)字邏輯電路設(shè)計(jì)報(bào)告

      多功能數(shù)字鐘

      一、設(shè)計(jì)任務(wù)及要求

      (1)擁有正常的時(shí)、分、秒計(jì)時(shí)功能。

      (2)能利用實(shí)驗(yàn)板上的按鍵實(shí)現(xiàn)校時(shí)、校分及清零功能。(3)能利用實(shí)驗(yàn)板上的揚(yáng)聲器做整點(diǎn)報(bào)時(shí)。(4)鬧鐘功能

      (5)在MAXPLUS II 中采用層次化設(shè)計(jì)方法進(jìn)行設(shè)計(jì)。

      (6)在完成全部電路設(shè)計(jì)后在實(shí)驗(yàn)板上下載,驗(yàn)證設(shè)計(jì)課題的正確性。

      二、多功能數(shù)字鐘的總體設(shè)計(jì)和頂層原理圖

      作為根據(jù)總體設(shè)計(jì)框圖,可以將整個(gè)系統(tǒng)分為六個(gè)模塊來(lái)實(shí)現(xiàn),分別是計(jì)時(shí)模塊、校時(shí)模塊、整點(diǎn)報(bào)時(shí)模塊、分頻模塊、動(dòng)態(tài)顯示模塊及鬧鐘模塊。

      江蘇大學(xué)計(jì)算機(jī)10 數(shù)字邏輯電路設(shè)計(jì)報(bào)告

      (1)計(jì)時(shí)模塊

      該模塊使用74LS160構(gòu)成的一個(gè)二十四進(jìn)制和兩個(gè)六十進(jìn)制計(jì)數(shù)器級(jí)聯(lián),構(gòu)成數(shù)字鐘的基本框架。二十四進(jìn)制計(jì)數(shù)器用于計(jì)時(shí),六十進(jìn)制計(jì)數(shù)器用于計(jì)分和秒。只要給秒計(jì)數(shù)器一個(gè)1HZ的時(shí)鐘脈沖,則可以進(jìn)行正常計(jì)時(shí)。分計(jì)數(shù)器以秒計(jì)數(shù)器的進(jìn)位作為計(jì)數(shù)脈沖。

      用兩個(gè)74160連成24進(jìn)制的計(jì)數(shù)器,原圖及生成的器件如下:

      江蘇大學(xué)計(jì)算機(jī)10 數(shù)字邏輯電路設(shè)計(jì)報(bào)告

      (2)校時(shí)模塊

      校時(shí)模塊設(shè)計(jì)要求實(shí)現(xiàn)校時(shí),校分以及清零功能。

      *按下校時(shí)鍵,小時(shí)計(jì)數(shù)器迅速遞增以調(diào)至所需要的小時(shí)位。*按下校分鍵,分計(jì)數(shù)器迅速遞增以調(diào)至所需要的分位。*按下清零鍵,將秒計(jì)數(shù)器清零。

      注意事項(xiàng):① 在校分時(shí),分計(jì)數(shù)器的計(jì)數(shù)不應(yīng)對(duì)小時(shí)位產(chǎn)生影響,因而需要屏蔽此時(shí)分計(jì)數(shù)器的進(jìn)位信號(hào)以防止小時(shí)計(jì)數(shù)器計(jì)數(shù)。

      ② 利用D觸發(fā)器進(jìn)行按鍵抖動(dòng)的消除,因?yàn)镈觸發(fā)器是邊沿觸發(fā),在除去時(shí)鐘邊沿到來(lái)前一瞬間之外的絕大部分時(shí)間都不接受輸入,可以消除抖動(dòng)。

      ③ 計(jì)時(shí)采用1HZ的脈沖驅(qū)動(dòng)計(jì)數(shù)器計(jì)數(shù),而校時(shí)則需要較高頻率的信號(hào)驅(qū)動(dòng)以達(dá)到快速校時(shí)的目的。因此這兩種脈沖信號(hào)就需要兩路選擇器進(jìn)行選擇,條件即為是否按鍵。

      注:D觸發(fā)器用于按鍵的消抖,接更高的頻率用于校時(shí)和校分,二路選擇器用于區(qū)分是正常計(jì)時(shí)還是校時(shí)。

      江蘇大學(xué)計(jì)算機(jī)10 數(shù)字邏輯電路設(shè)計(jì)報(bào)告

      數(shù)字,6次一個(gè)循環(huán),形成一個(gè)掃描序列。利用人眼的視覺(jué)暫留則可以同步顯示6個(gè)數(shù)字。

      注:

      CLK為時(shí)鐘信號(hào),S為計(jì)數(shù)器的小時(shí),F(xiàn)為分,M為秒,SELOUT為六路選擇器,選擇哪個(gè)數(shù)碼管工作,SEGOUT為七段譯碼器,使數(shù)碼管顯示數(shù)字。

      器件(6)鬧鐘模塊

      注意事項(xiàng):① 設(shè)定的鬧鐘的時(shí)間應(yīng)使用新的計(jì)數(shù)器進(jìn)行存儲(chǔ),與正常的計(jì)時(shí)互不干擾。

      ② 與正常計(jì)時(shí)狀態(tài)的顯示切換??梢栽O(shè)定一個(gè)按鍵,用于選擇是將計(jì)時(shí)時(shí)間還是將鬧鐘時(shí)間送至動(dòng)態(tài)顯示模塊。

      ③ 應(yīng)實(shí)現(xiàn)一個(gè)比較模塊,當(dāng)計(jì)時(shí)到與鬧鐘時(shí)間相等時(shí),則驅(qū)動(dòng)揚(yáng)聲器鳴叫。

      ④ 鬧鐘響聲應(yīng)限定在一定時(shí)間內(nèi),且在這段時(shí)間內(nèi)應(yīng)隨時(shí)可以通過(guò)按鍵取消鬧時(shí)狀態(tài)。

      鬧鐘調(diào)時(shí)和分以及正常計(jì)時(shí)與鬧鐘定時(shí)之間的選擇原圖及生成的器件如下:

      江蘇大學(xué)計(jì)算機(jī)10 數(shù)字邏輯電路設(shè)計(jì)報(bào)告

      注:

      輸入端CLK為時(shí)鐘信號(hào),SD清零,NAOZHONG是使計(jì)數(shù)器正常計(jì)時(shí)和鬧鐘定時(shí)界面的切換,SE調(diào)鬧鐘的小時(shí),SD調(diào)鬧鐘的分,輸出端即為鬧鐘的小時(shí)和分。

      鬧鐘界面和正常計(jì)時(shí)界面的轉(zhuǎn)換器件如下:

      注:

      S表示計(jì)時(shí)器的時(shí),F(xiàn)表示計(jì)時(shí)器的分,M表示計(jì)數(shù)器的秒;

      SS表示鬧鐘的時(shí),F(xiàn)F表示鬧鐘的分;Q為計(jì)時(shí)和鬧鐘兩個(gè)界面的切換開(kāi)關(guān),ABC為輸出的時(shí)間。

      正常計(jì)時(shí)時(shí)間和設(shè)定鬧鐘時(shí)間的比較器件如下:

      注:

      江蘇大學(xué)計(jì)算機(jī)10 數(shù)字邏輯電路設(shè)計(jì)報(bào)告

      use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60_06 is port(clk:in std_logic;

      clear:in std_logic;

      c:out std_logic;

      k1,k0:out std_logic_vector(3 downto 0));

      end cnt60_06;architecture cnt of cnt60_06 is signal q1,q0:std_logic_vector(3 downto 0);begin

      process(clk,clear)

      begin

      if(clear='1')then

      q1<=“0000”;q0<=“0000”;c<='0';

      else

      if(clk'event and clk='1')then

      if(q1=“0101” and q0=“1001”)then-----到59

      q1<=“0000”;q0<=“0000”;c<='1';

      elsif(q1<“0101” and q0=“1001”)then

      q0<=“0000”;q1<=q1+'1';c<='0';

      elsif(q0<“1001”)then

      q0<=q0+'1';

      end if;

      end if;

      end if;

      k1<=q1;

      k0<=q0;

      end process;end cnt;

      用VHDL語(yǔ)言寫(xiě)的報(bào)時(shí)器源代碼如下:

      library ieee;use ieee.std_logic_1164.all;

      use ieee.std_logic_unsigned.all;entity alert_06 is port(f1,f0,m1,m0:in std_logic_vector(3 downto 0);

      siga,sigb:out std_logic);

      end alert_06;

      architecture a of alert_06 is begin siga<='1'when(f1=“0101” and f0=“1001” and m1=“0101” and(m0=“0000” or m0=“0010” or m0=“0100” or m0=“0110” or m0=“1000”))else'0';

      0

      江蘇大學(xué)計(jì)算機(jī)10 數(shù)字邏輯電路設(shè)計(jì)報(bào)告

      port(clk:in std_logic;

      s :in std_logic_vector(7 downto 0);

      f :in std_logic_vector(7 downto 0);

      m :in std_logic_vector(7 downto 0);

      selout:out std_logic_vector(5 downto 0);

      segout:out std_logic_vector(6 downto 0));end display_06;

      architecture a of display_06 is signal number:std_logic_vector(3 downto 0);signal sel

      :std_logic_vector(5 downto 0);signal seg

      :std_logic_vector(6 downto 0);signal q

      :std_logic_vector(2 downto 0);begin a:process(clk)begin if(clk'event and clk='1')then q<=q+1;end if;end process a;process(q)begin case q is

      when“000”=>sel<=“000001”;when“001”=>sel<=“000010”;when“010”=>sel<=“000100”;

      when“011”=>sel<=“001000”;when“100”=>sel<=“010000”;when“101”=>sel<=“100000”;when others=>sel<=“000000”;end case;end process;

      process begin if sel =“000001”then

      number<=m(3 downto 0);elsif sel=“000010”then

      number<=m(7 downto 4);elsif sel=“000100”then

      number<=f(3 downto 0);elsif sel=“001000”then

      number<=f(7 downto 4);

      江蘇大學(xué)計(jì)算機(jī)10 數(shù)字邏輯電路設(shè)計(jì)報(bào)告

      end switch_06;

      architecture a of switch_06 is begin process(Q,s,ss,f,ff,m)Begin

      if(Q='1')then

      A<=ss;B<=ff;C<=“00000000”;

      else

      A<=s;B<=f;C<=m;

      end if;

      end process;

      end a;正常計(jì)時(shí)時(shí)間和設(shè)定的鬧鐘時(shí)間之間的比較的源代碼如下:

      library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity comp_06 is port(s,ss,f,ff:in std_logic_vector(7 downto 0);d:out std_logic;Q:in std_logic);end comp_06;architecture behavior of comp_06 is

      begin process(Q,s,ss,f,ff)begin if(rising_edge(Q))then if(s=ss and f=ff)then d<='1';

      else d<='0';end if;end if;end process;end behavior;

      第三篇:數(shù)字邏輯電路學(xué)習(xí)總結(jié)

      數(shù)字邏輯電路學(xué)習(xí)總結(jié)

      學(xué)

      號(hào):

      、姓

      名:

      學(xué)

      院:

      專(zhuān)

      業(yè):

      數(shù)字邏輯電路學(xué)習(xí)總結(jié)

      經(jīng)過(guò)一學(xué)期的學(xué)習(xí),我對(duì)數(shù)字邏輯電路這門(mén)課程總結(jié)如下: 一:數(shù)字邏輯電路緒論及基礎(chǔ)

      1.?dāng)?shù)字信號(hào)與模擬信號(hào)的區(qū)別(數(shù)值和時(shí)間的連續(xù)性與不連續(xù)性)2.?dāng)?shù)字電路特點(diǎn):電路結(jié)構(gòu)簡(jiǎn)單,便于集成化;工作可靠,抗干擾能力強(qiáng);信息便于長(zhǎng)期保存和加密;產(chǎn)品系列全,通用性強(qiáng),成本低;可進(jìn)行數(shù)字運(yùn)算和邏輯運(yùn)算。

      3.?dāng)?shù)制轉(zhuǎn)換(二進(jìn)制、八進(jìn)制、十六進(jìn)制、8421BCD碼)

      十~二:右→左,每三位構(gòu)成一位八進(jìn)制,不夠補(bǔ)0

      二~八:右←左,每一位構(gòu)成三位二進(jìn)制

      八~二:右→左,每四位構(gòu)成一位十六進(jìn)制,不夠補(bǔ)0

      十六~二:右 →左,每一位構(gòu)成一位二進(jìn)制

      十~8421BCD:每一位組成8421BCD碼 4.二進(jìn)制運(yùn)算(0+0=0,0+1=1,1+1=1 0)

      5.基本邏輯門(mén)(與門(mén)、或門(mén)、非門(mén)、與非門(mén)、或非門(mén)、異或、同或)

      與門(mén):F=ABC

      或門(mén):F=A+B+C

      非門(mén):F|

      與非門(mén):(AB)| 或非門(mén):F=(A+B)| 異或門(mén):F=A|B+AB|=A(+)B 同或門(mén):F=AB+A|B|=A(*)B 6.邏輯代數(shù)基本公式及定理

      7.最大項(xiàng)與最小項(xiàng)(為互補(bǔ)關(guān)系)8.邏輯函數(shù)化簡(jiǎn)(代數(shù)法和卡諾圖法)卡諾圖包圍圈盡量大,個(gè)數(shù)盡量小,要全部包圍,包含2^n個(gè)方格

      二:組合邏輯電路

      1.組合邏輯電路的分析與設(shè)計(jì)

      任一時(shí)刻的輸出只取決于同一時(shí)刻輸入狀態(tài)的組合,而與電路原有的狀態(tài)無(wú)關(guān)的電路

      分析:寫(xiě)出表達(dá)式,列出真值表,根據(jù)化簡(jiǎn)函數(shù)式說(shuō)明邏輯功能 設(shè)計(jì):列出真值表,寫(xiě)出邏輯函數(shù),化簡(jiǎn),畫(huà)邏輯圖 2.半加器與全加器的區(qū)別(考慮是否進(jìn)位)

      3.編碼器(二~十進(jìn)制編碼器P120、優(yōu)先編碼器P134)8-3優(yōu)先編碼器

      10-4優(yōu)先譯碼器

      4.譯碼器(二進(jìn)制編碼器P140、二至十進(jìn)制譯碼器P143)3-8譯碼器

      5.數(shù)據(jù)選擇器

      4選1數(shù)據(jù)選擇器 8選1數(shù)據(jù)選擇權(quán)

      三:觸發(fā)器

      1.觸發(fā)器 邏輯功能可分:

      RS觸發(fā)器 D觸發(fā)器 JK觸發(fā)器 T觸發(fā)器 T’觸發(fā)器 觸發(fā)方式可分:

      電平觸發(fā)器 邊沿觸發(fā)器 主從觸發(fā)器 電路結(jié)構(gòu)可分:

      基本RS觸發(fā)器 同步觸發(fā)器 維持阻塞觸發(fā)器 主從觸發(fā)器 邊沿觸發(fā)器 2.觸發(fā)器的轉(zhuǎn)換

      公式法和圖形法(了解觸發(fā)器的邏輯符號(hào),對(duì)比表達(dá)式的特性,畫(huà)出邏輯圖)

      說(shuō)明:真值表

      表達(dá)式

      約束條件

      CP脈沖有效區(qū)

      實(shí)現(xiàn)的功能

      各觸發(fā)器的轉(zhuǎn)換波形圖的畫(huà)法 四:時(shí)序邏輯電路

      1.同步時(shí)序邏輯電路的分析與設(shè)計(jì)

      分析:確定電路組成→寫(xiě)出輸出函數(shù)和激勵(lì)函數(shù)的表達(dá)式→電路的次態(tài)方程→作狀態(tài)表和狀態(tài)圖→做出波形圖→功能描述→檢查電路是否能自啟動(dòng)

      設(shè)計(jì):確定輸入、輸出及電路狀態(tài)來(lái)寫(xiě)出原始狀態(tài)表和原始狀態(tài)圖化簡(jiǎn)原始狀態(tài)表(可用卡諾圖化簡(jiǎn))→進(jìn)行狀態(tài)賦值(寫(xiě)出真值表)→選擇觸發(fā)器

      2.異步時(shí)序邏輯電路分析

      寫(xiě)出激勵(lì)函數(shù)表達(dá)式→寫(xiě)出電路的次態(tài)方程組→作狀態(tài)表→做時(shí)序圖,說(shuō)明電路功能

      3.計(jì)數(shù)器

      同步計(jì)數(shù)器:同CP

      異步計(jì)數(shù)器:不同CP 寫(xiě)出時(shí)序方程、輸出方程、驅(qū)動(dòng)方程→次態(tài)方程→狀態(tài)計(jì)算,列出狀態(tài)表→畫(huà)出狀態(tài)圖

      功能描述:其實(shí)數(shù)字電路在我們生活中有很大的作用,在人們的日常生活中,常用的計(jì)算機(jī),電視機(jī),音響系統(tǒng),視頻記錄設(shè)備,長(zhǎng)途電話(huà)等電子設(shè)備或電子系統(tǒng),無(wú)不采用數(shù)字電路或數(shù)字系統(tǒng)數(shù)字電子技術(shù)的應(yīng)用。關(guān)于數(shù)制和碼制學(xué)習(xí),主要涉及進(jìn)制之間的變換,轉(zhuǎn)換等。當(dāng)然也強(qiáng)調(diào)了二進(jìn)制的各種運(yùn)算,以及源碼反碼補(bǔ)碼運(yùn)用等。幾種常用的編碼,我們主要學(xué)的是BCD碼,還有余3碼。

      如果說(shuō)關(guān)于數(shù)制和碼制學(xué)習(xí)還看不出和數(shù)字電路有何關(guān)系,接下來(lái)的邏輯代數(shù)基礎(chǔ)這章更加靠近我們之后的數(shù)字電路學(xué)習(xí)了,對(duì)于數(shù)制僅僅只是工具。各種真值表,門(mén)電路,邏輯方程等等都全面。本章也有很多需要去記憶的公式定理,比方說(shuō)基本公式,常用公式以及邏輯代數(shù)的基本定理等等。

      邏輯函數(shù)的表示方法有這幾種:

      1、邏輯真值表

      2、邏輯函數(shù)式

      3、邏輯圖

      4、波形圖,這些表示方法之間是可以互相轉(zhuǎn)換的。

      邏輯函數(shù)的兩種標(biāo)準(zhǔn)形式,最小項(xiàng)和最大項(xiàng),我們用最小項(xiàng)用的是最多。由于隨著課程學(xué)習(xí)的深入我們遇到的邏輯函數(shù)表達(dá)式越來(lái)越復(fù)雜,自然需要化簡(jiǎn)來(lái)實(shí)現(xiàn)公式的簡(jiǎn)化,電路的簡(jiǎn)化,于是我們學(xué)習(xí)到了卡諾圖化簡(jiǎn)法,用卡諾圖化簡(jiǎn)法大大提高了我們化簡(jiǎn)的效率和準(zhǔn)確率。

      在一些實(shí)際電路中我們并不需要一些變量,這些變量或許會(huì)影響我的結(jié)果或者也不影響,這些變量統(tǒng)稱(chēng)為無(wú)關(guān)項(xiàng),在函數(shù)表達(dá)式中我們稱(chēng)之為約束項(xiàng)和任意項(xiàng)。對(duì)于無(wú)關(guān)變量的作用,通常用于化簡(jiǎn)以及之后的消除競(jìng)爭(zhēng)——冒險(xiǎn)現(xiàn)象等。

      我們有了邏輯代數(shù)這一直接數(shù)字電路基礎(chǔ),之后的組合邏輯電路和時(shí)序邏輯電路的分析和設(shè)計(jì),便更加明確和邏輯。

      組合邏輯電路學(xué)習(xí)我們才真正意義上開(kāi)始接觸邏輯電路。組合邏輯電路的邏輯功能是任意時(shí)刻的輸出僅僅決定于該時(shí)刻的輸入;電路結(jié)構(gòu)則是不含有記憶器件。邏輯功能的描述和之前學(xué)習(xí)表示方法一致,真值表,邏輯方程,邏輯圖和波形圖。對(duì)于組合邏輯電路分析方法則是:①逐條寫(xiě)出電路輸入到輸出的邏輯函數(shù)式;②用公式化簡(jiǎn)法和卡諾圖化簡(jiǎn)法讓函數(shù)式化簡(jiǎn);③為了更加直觀可以轉(zhuǎn)換為真值表形式;④最后分析結(jié)果。組合邏輯的設(shè)計(jì)方法步驟:先邏輯抽象,再寫(xiě)邏輯函數(shù)式,然后選擇器件類(lèi)型,轉(zhuǎn)化適當(dāng)形式。

      主要的基本組合邏輯電路不多,比如:普通編碼器,優(yōu)化編碼器,譯碼器,顯示譯碼器,數(shù)據(jù)選擇器,加法器(全加器,半加器,一位加法器,多位加法器,多元加法器,超前進(jìn)位加法器),數(shù)值比較器等等。這些都是我們很常用而且很基本的組合邏輯電路。

      對(duì)于組合邏輯電路中,競(jìng)爭(zhēng)——冒險(xiǎn)現(xiàn)象可以通過(guò)接入濾波電容,引入選通脈沖和修改邏輯設(shè)計(jì)來(lái)實(shí)現(xiàn)消除競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象。

      第四篇:《數(shù)字邏輯電路》課程教學(xué)大綱

      《數(shù)字邏輯電路》課程教學(xué)大綱

      第一章 數(shù)制與編碼

      在數(shù)字電路和計(jì)算機(jī)中,只用0和1兩種符號(hào)來(lái)表示欣喜,參與運(yùn)算的數(shù)也是由0和1構(gòu)成的,即二進(jìn)制數(shù)??紤]到人類(lèi)計(jì)數(shù)習(xí)慣,在計(jì)算機(jī)操作時(shí),一般都要把輸入的十進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制數(shù)后再由計(jì)算機(jī)處理;而計(jì)算機(jī)處理的二進(jìn)制結(jié)構(gòu)也需要轉(zhuǎn)換為便于人類(lèi)識(shí)別的十進(jìn)制數(shù)然后顯示出來(lái),因此,需要學(xué)習(xí)不同的數(shù)值及轉(zhuǎn)換方法。

      通過(guò)這一章的學(xué)習(xí),學(xué)習(xí)者要理解數(shù)字電路的特點(diǎn)以及幾種數(shù)制之間的轉(zhuǎn)換方法 進(jìn)一步學(xué)習(xí)后續(xù)內(nèi)容打好基礎(chǔ);

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:8學(xué)時(shí)): §1.1 概述

      §1.2 數(shù)制與編碼 §1.3 編碼

      第二章 邏輯代數(shù)

      本章主要介紹邏輯代數(shù)的基本定理和定律,常用公式及三大規(guī)則(代入、反演、對(duì)偶)。

      通過(guò)本章的學(xué)習(xí)熟悉邏輯代數(shù)的各種表示方法(真值表、表達(dá)式及邏輯圖等),理解各種邏輯門(mén)的圖形符號(hào),理解最小項(xiàng)的基本概念及標(biāo)準(zhǔn)與或式的表示方法。掌握邏輯代數(shù)變換技巧及邏輯代數(shù)化簡(jiǎn)方法。

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:8學(xué)時(shí)): §2.1 邏輯代數(shù)的基本概念 §2.2 邏輯代數(shù)的運(yùn)算法則 §2.3 邏輯代數(shù)的表達(dá)式 §2.4 邏輯代數(shù)的公式簡(jiǎn)化法

      第三章 門(mén)電路

      本章介紹典型TTL集成電路的基本工作原理,典型TTL與非門(mén)主要外部特性(電壓傳輸特性、輸入特性、輸出特性),OC門(mén)和TS門(mén)的圖形符號(hào)及邏輯功能,及其正確應(yīng)用的注意事項(xiàng)。

      要了解典型TTL集成電路的基本工作原理,要求掌握典型TTL與非門(mén)主要外部特性(電壓傳輸特性、輸入特性、輸出特性),熟悉一些主要參數(shù),理解OC門(mén)和TS門(mén)的圖形符號(hào)及邏輯功能,了解其正確應(yīng)用及注意事項(xiàng)。了解MOS門(mén)電路(特別是CMOS門(mén)電路)的構(gòu)成,熟悉邏輯特性。

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:8學(xué)時(shí)): §3.1 概述

      §3.2 體二極管和三極管的開(kāi)關(guān)特性 §3.3 分立元件門(mén) §3.4 TTL集成門(mén)

      §3.5 其他類(lèi)型的雙極型集成電路 §3.6 MOS集成們

      第四章 組合邏輯電路

      本章主要介紹了掌握組合邏輯電路的分析方法,一些常用的組合邏輯電路,如加法器、數(shù)據(jù)選擇器、數(shù)據(jù)分配器等,以及半導(dǎo)體數(shù)碼管的基本結(jié)構(gòu)和引腳符號(hào)的含義,組合邏輯電路的競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象。

      通過(guò)本章的學(xué)習(xí),要掌握組合邏輯電路的分析方法,以識(shí)別給定電路的邏輯功能,能設(shè)計(jì)一些簡(jiǎn)單的,常用的組合邏輯電路,掌握編碼器、譯碼器的基本概念及應(yīng)用方法,了解半導(dǎo)體數(shù)碼管的基本結(jié)構(gòu)和引腳符號(hào)的含義,了解加法器、數(shù)據(jù)選擇器、數(shù)據(jù)分配器的基本原理和應(yīng)用,了解組合邏輯電路的競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象。

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:8學(xué)時(shí)): §4.1 概述

      §4.2 若干常用的組合邏輯電路

      §4.3 基于Verilog HDL的組合邏輯電路設(shè)計(jì) §4.4 組合邏輯電路的競(jìng)爭(zhēng)——冒險(xiǎn)現(xiàn)象

      第五章 觸發(fā)器

      本章主要介紹了基本RS觸發(fā)器的組成、工作原理、邏輯功能及邏輯功能的描述方法,還有同步觸發(fā)器的電路結(jié)構(gòu),邏輯功能,主要介紹了邊沿JK觸發(fā)器、T觸發(fā)器、維持阻塞D觸發(fā)器集成JK、D觸發(fā)器。

      通過(guò)本章的學(xué)習(xí),要理解掌握基本RS觸發(fā)器的組成、工作原理、邏輯功能及邏輯功能的描述方法,了解同步觸發(fā)器的電路結(jié)構(gòu),熟記其邏輯符號(hào)、邏輯功能,并會(huì)熟練運(yùn)用,掌握主從JK觸發(fā)器、T觸發(fā)器、維持阻塞D觸發(fā)器的邏輯符號(hào),邏輯功能;掌握集成JK、D觸發(fā)器的使用常識(shí)。

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:8學(xué)時(shí)): §5.1概述

      §5.2 基本RS觸發(fā)器 §5.3 鐘控觸發(fā)器 §5.4 集成觸發(fā)器

      §5.6 觸發(fā)器之間的轉(zhuǎn)換

      §5.7 基于Verilog HDL的觸發(fā)器設(shè)計(jì)

      第六章 時(shí)序邏輯電路

      本章主要介紹了時(shí)序邏輯電路的概念及與組合邏輯電路的區(qū)別,寄存器的電路組成、常見(jiàn)類(lèi)型及邏輯功能,以及時(shí)序邏輯電路的分析方法和設(shè)計(jì)方法,重點(diǎn)介紹了常見(jiàn)的二進(jìn)制、十進(jìn)制計(jì)數(shù)器工作原理及功能,集成寄存器、計(jì)數(shù)器的工作原理與設(shè)計(jì)方法。本章是本課程的重要部分。

      通過(guò)本章的學(xué)習(xí),掌握時(shí)序邏輯電路的概念及與組合邏輯電路的區(qū)別,掌握寄存器的電路組成、常見(jiàn)類(lèi)型及邏輯功能,熟練掌握時(shí)序邏輯電路的分析方法和設(shè)計(jì)方法,掌握常見(jiàn)的二進(jìn)制、十進(jìn)制計(jì)數(shù)器工作原理及功能,了解集成寄存器、計(jì)數(shù)器的使用常識(shí)。

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:8學(xué)時(shí)): §6.1 概述

      §6.2 數(shù)碼寄存器和移位寄存器 §6.3 計(jì)數(shù)器 §6.4 基于Verilog HDL的時(shí)序邏輯電路的設(shè)計(jì)

      第七章 脈沖單元電路

      本章主要介紹脈沖波形的主要參數(shù),555定時(shí)器、單穩(wěn)態(tài)觸發(fā)器、施密特觸發(fā)器、多諧振蕩器的電路組成、工作原理以及各種觸發(fā)器的應(yīng)用。

      通過(guò)本章的學(xué)習(xí)后,要掌握脈沖產(chǎn)生和變換電路的調(diào)試方法熟悉脈沖波形的主要參數(shù),掌握單穩(wěn)態(tài)觸發(fā)器、施密特觸發(fā)器、多諧振蕩器的電路組成和工作特點(diǎn),掌握555定時(shí)器的功能。

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:6學(xué)時(shí)): §7.1 概述

      §7.2 施密特觸發(fā)器 §7.3 單穩(wěn)態(tài)觸發(fā)器 §7.4 多諧振蕩器

      第八章 數(shù)模和模數(shù)轉(zhuǎn)換

      本章主要介紹了 A/D與D/A轉(zhuǎn)換電路的概念及A/D與D/A轉(zhuǎn)換的區(qū)別,A/D與D/A轉(zhuǎn)換電路組成、常用參數(shù)、分辨率和誤差。

      通過(guò)本章的學(xué)習(xí)后,要掌握A/D與D/A轉(zhuǎn)換電路的概念及A/D與D/A轉(zhuǎn)換的區(qū)別,掌握A/D與D/A轉(zhuǎn)換電路組成、常用參數(shù)、分辨率和誤差,熟練掌握轉(zhuǎn)換的使用環(huán)境和特定型號(hào)。

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:6學(xué)時(shí)): §8.1 概述 §8.2 數(shù)模轉(zhuǎn)換 §8.3 模數(shù)轉(zhuǎn)換

      第九章 程序邏輯電路

      半導(dǎo)體存儲(chǔ)器是程序邏輯電路中的主要組成部分。本章主要介紹了程序邏輯電路的結(jié)構(gòu)和特點(diǎn),然后系統(tǒng)的介紹了半導(dǎo)體存儲(chǔ)器的工作原理和使用方法。

      通過(guò)本章的學(xué)習(xí)后,要了解程序邏輯電路的結(jié)構(gòu)和特點(diǎn),并掌握半導(dǎo)體存儲(chǔ)器的工作原理和使用方法

      本章的主要教學(xué)內(nèi)容(教學(xué)時(shí)數(shù)安排:4學(xué)時(shí)): §9.1 概述

      §9.2 隨機(jī)存儲(chǔ)器 §9.3 只讀存儲(chǔ)器

      §9.4 程序邏輯電路的應(yīng)用

      制定者:

      執(zhí)筆 校對(duì)者: 審定者:

      批準(zhǔn)者:

      第五篇:數(shù)字邏輯電路小論文

      數(shù)字邏輯論文

      摘要:隨著數(shù)字邏輯技術(shù)的發(fā)展,數(shù)字邏輯電路也逐步應(yīng)用于我們生活的方方面面。在數(shù)字機(jī)頂盒,數(shù)字電冰箱,數(shù)字洗衣機(jī)等領(lǐng)域均有所體現(xiàn)。本文將大體介紹數(shù)字邏輯電路的發(fā)展歷程、分類(lèi)方法、數(shù)值、用途與特點(diǎn),最后詳細(xì)介紹數(shù)字邏輯電路的實(shí)際應(yīng)用。

      一.

      數(shù)字電路的發(fā)展歷程與分類(lèi)方法

      數(shù)字電路的發(fā)展:數(shù)字電路的發(fā)展與模擬電路一樣經(jīng)歷了由電子管、半導(dǎo)體分立器件到集成電路等幾個(gè)時(shí)代。但其發(fā)展比模擬電路發(fā)展的更快。從60年代開(kāi)始,數(shù)字集成器件以雙極型工藝制成了小規(guī)模邏輯器件。隨后發(fā)展到中規(guī)模邏輯器件;70年代末,微處理器的出現(xiàn),使數(shù)字集成電路的性能產(chǎn)生質(zhì)的飛躍。邏輯門(mén)是數(shù)字電路中一種重要的邏輯單元電路。TTL邏輯門(mén)電路問(wèn)世較早,其工藝經(jīng)過(guò)不斷改進(jìn),至今仍為主要的基本邏輯器件之一。隨著CMOS工藝的發(fā)展,TTL的主導(dǎo)地位受到了動(dòng)搖,有被CMOS器件所取代的趨勢(shì)。近年來(lái),可編程邏輯器件PLD特別是現(xiàn)場(chǎng)可編程門(mén)陣列FPGA的飛速進(jìn)步,使數(shù)字電子技術(shù)開(kāi)創(chuàng)了新局面,不僅規(guī)模大,而且將硬件與軟件相結(jié)合,使器件的功能更加完善,使用更靈活。數(shù)字邏輯電路分類(lèi):

      1、按功能來(lái)分:

      (1)組合邏輯電路:簡(jiǎn)稱(chēng)組合電路,它由最基本的的邏輯門(mén)電路組合而成。特點(diǎn)是:輸出值只與當(dāng)時(shí)的輸入值有關(guān),即輸出惟一地由當(dāng)時(shí)的輸入值決定。電路沒(méi)有記憶功能,輸出狀態(tài)隨著輸入狀態(tài)的變化而變化,類(lèi)似于電阻性電路,如加法器、譯碼器、編碼器、數(shù)據(jù)選擇器等都屬于此類(lèi)。

      (2)時(shí)序邏輯電路:簡(jiǎn)稱(chēng)時(shí)序電路,它是由最基本的邏輯門(mén)電路加上反饋邏輯回路或器件組合而成的電路,與組合電路最本質(zhì)的區(qū)別在于時(shí)序電路具有記憶功能。時(shí)序電路的特點(diǎn)是:輸出不僅取決于當(dāng)時(shí)的輸入值,而且還與電路過(guò)去的狀態(tài)有關(guān)。它類(lèi)似于含儲(chǔ)能元件的電感或電容的電路,如觸發(fā)器、鎖存器、計(jì)數(shù)器、移位寄存器、儲(chǔ)存器等電路都是時(shí)序電路的典型器件。

      2、按電路有無(wú)集成元器件來(lái)

      可分為分立元件數(shù)字電路和集成數(shù)字電路。

      3、按集成電路的集成度進(jìn)行分類(lèi) 可分為小規(guī)模集成數(shù)字電路(SSI)、中規(guī)模集成數(shù)字電路(MSI)、大規(guī)模集成數(shù)字電路(LSI)和超大規(guī)模集成數(shù)字電路(VLSI)。

      4、按構(gòu)成電路的半導(dǎo)體器件來(lái)分類(lèi) 可分為雙極型數(shù)字電路和單極型數(shù)字電路。

      二.?dāng)?shù)字邏輯電路的用途和特點(diǎn)

      數(shù)字電子電路中的后起之秀是數(shù)字邏輯電路。把它叫做數(shù)字電路是因?yàn)殡娐分袀鬟f的雖然也是脈沖,但這些脈沖是用來(lái)表示二進(jìn)制數(shù)碼的,例如用高電平表示“ 1 ”,低電平表示“ 0 ”。聲音圖像文字等信息經(jīng)過(guò)數(shù)字化處理后變成了一串串電脈沖,它們被稱(chēng)為數(shù)字信號(hào)。能處理數(shù)字信號(hào)的電路就稱(chēng)為數(shù)字電路。

      這種電路同時(shí)又被叫做邏輯電路,那是因?yàn)殡娐分械摹?1 ”和“ 0 ”還具有邏輯意義,例如邏輯“ 1 ”和邏輯“ 0 ”可以分別表示電路的接通和斷開(kāi)、事件的是和否、邏輯推理的真和假等等。電路的輸出和輸入之間是一種邏輯關(guān)系。這種電路除了能進(jìn)行二進(jìn)制算術(shù)運(yùn)算外還能完成邏輯運(yùn)算和具有邏輯推理能力,所以才把它叫做邏輯電路。

      由于數(shù)字邏輯電路有易于集成、傳輸質(zhì)量高、有運(yùn)算和邏輯推理能力等優(yōu)點(diǎn),因此被廣泛用于計(jì)算機(jī)、自動(dòng)控制、通信、測(cè)量等領(lǐng)域。一般家電產(chǎn)品中,如定時(shí)器、告警器、控制器、電子鐘表、電子玩具等都要用數(shù)字邏輯電路。

      數(shù)字邏輯電路的第一個(gè)特點(diǎn)是為了突出“邏輯”兩個(gè)字,使用的是獨(dú)特的圖形符號(hào)。數(shù)字邏輯電路中有門(mén)電路和觸發(fā)器兩種基本單元電路,它們都是以晶體管和電阻等元件組成的,但在邏輯電路中我們只用幾個(gè)簡(jiǎn)化了的圖形符號(hào)去表示它們,而不畫(huà)出它們的具體電路,也不管它們使用多高電壓,是 TTL 電路還是 CMOS 電路等等。按邏輯功能要求把這些圖形符號(hào)組合起來(lái)畫(huà)成的圖就是邏輯電路圖,它完全不同于一般的放大振蕩或脈沖電路圖。

      數(shù)字電路中有關(guān)信息是包含在 0 和 1 的數(shù)字組合內(nèi)的,所以只要電路能明顯地區(qū)分開(kāi) 0 和 1,0 和 1 的組合關(guān)系沒(méi)有破壞就行,脈沖波形的好壞我們是不大理會(huì)的。所以數(shù)字邏輯電路的第二個(gè)特點(diǎn)是我們主要關(guān)心它能完成什么樣的邏輯功能,較少考慮它的電氣參數(shù)性能等問(wèn)題。也因?yàn)檫@個(gè)原因,數(shù)字邏輯電路中使用了一些特殊的表達(dá)方法如真值表、特征方程等,還使用一些特殊的分析工具如邏輯代數(shù)、卡諾圖等等,這些也都與放大振蕩電路不同。

      三.?dāng)?shù)字電路的數(shù)制

      在我們的日常生活中常用的進(jìn)制主要是十進(jìn)制(因?yàn)槲覀冇惺畟€(gè)手指,所以十進(jìn)制是比較合理的選擇,用手指可以表示十個(gè)數(shù)字,0的概念直到很久以后才出現(xiàn),所以是1-10而不是0-9)。例如:在早期設(shè)計(jì)的機(jī)械計(jì)算裝置中,使用的不是二進(jìn)制,而是十進(jìn)制或者其他進(jìn)制,利用齒輪的不同位置表示不同的數(shù)值,這種計(jì)算裝置可能更加接近人類(lèi)的思想方式。比如說(shuō)一個(gè)計(jì)算設(shè)備有十個(gè)齒輪,它們級(jí)連起來(lái),每一個(gè)齒輪有十格,小齒輪轉(zhuǎn)一圈大齒輪走一格。這就是一個(gè)簡(jiǎn)單的十位十進(jìn)制的數(shù)據(jù)表示設(shè)備了,可以表示0到999999999的數(shù)字。配合其他的一些機(jī)械設(shè)備,這樣一個(gè)簡(jiǎn)單的基于齒輪的裝置就可以實(shí)現(xiàn)簡(jiǎn)單的十進(jìn)制加減法了。而在如今的信息化、數(shù)字社會(huì),十進(jìn)制不能滿(mǎn)足人們的使用要求,從而出現(xiàn)了不同的進(jìn)制,如我們常說(shuō)的二進(jìn)制、八進(jìn)制、十六進(jìn)制等

      二進(jìn)制是計(jì)算技術(shù)中廣泛采用的一種數(shù)制。計(jì)算機(jī)運(yùn)算基礎(chǔ)采用二進(jìn)制。電腦的基礎(chǔ)是二進(jìn)制,電子計(jì)算機(jī)出現(xiàn)以后,使用電子管來(lái)表示十種狀態(tài)過(guò)于復(fù)雜,所以所有的電子計(jì)算機(jī)中只有兩種基本的狀態(tài),開(kāi)和關(guān)。也就是說(shuō),電子管的兩種狀態(tài)決定了以電子管為基礎(chǔ)的電子計(jì)算機(jī)采用二進(jìn)制來(lái)表示數(shù)字和數(shù)據(jù)。這種通過(guò)不同的位置上面不同的符號(hào)表示數(shù)值的方法就是進(jìn)制表示方法。一個(gè)字是電腦中的基本存儲(chǔ)單元,根據(jù)計(jì)算機(jī)字長(zhǎng)的不同,字具有不同的位數(shù),現(xiàn)代電腦的字長(zhǎng)一般是32位的,也就是說(shuō),一個(gè)字的位數(shù)是32。字節(jié)是8位的數(shù)據(jù)單元,一個(gè)字節(jié)可以表示0-255的數(shù)據(jù)。對(duì)于32位字長(zhǎng)的現(xiàn)代電腦,一個(gè)字等于4個(gè)字節(jié),對(duì)于早期的16位的電腦,一個(gè)字等于2個(gè)字節(jié)。八進(jìn)制的數(shù)較二進(jìn)制的數(shù)書(shū)寫(xiě)方便,常應(yīng)用在電子計(jì)算機(jī)的計(jì)算中。十六進(jìn)制常用在單片機(jī)的編程里。數(shù)制應(yīng)用領(lǐng)域范圍之廣,一時(shí)難以一一舉例,未來(lái)的世界數(shù)字化,期待著。

      四、數(shù)字邏輯設(shè)計(jì)在生活中的應(yīng)用

      隨著數(shù)字機(jī)頂盒、數(shù)字高清電視和液晶平板電視的迅猛發(fā)展,日常消費(fèi)電子產(chǎn)品中的數(shù)字視頻解調(diào)接收器和視頻圖像處理信號(hào)接收前端的重要模塊-模數(shù)轉(zhuǎn)換器的應(yīng)用越來(lái)越廣泛,而且隨著整機(jī)產(chǎn)品的功能和性能要求越來(lái)越高,功耗低面積小的數(shù)字視頻片上系統(tǒng)(SoC)單芯片已經(jīng)成為10位分辨率、多通道模數(shù)轉(zhuǎn)換器的主要應(yīng)用芯片,例如3通道采集RGB和YUV信號(hào)的視頻模擬前端。但是由于片上系統(tǒng)單芯片集成了大量的模擬電路和數(shù)字邏輯電路,內(nèi)部時(shí)鐘頻率也非常高,因此導(dǎo)致電路噪聲偏大,影響模數(shù)轉(zhuǎn)換器的性能。如何使模數(shù)轉(zhuǎn)換器既擁有較強(qiáng)的抗干擾能力,同時(shí)達(dá)到低功耗的要求,越來(lái)越成為模擬集成電路研究的熱點(diǎn)和難點(diǎn)。論文主要研究了兼容0.18um 1.8V標(biāo)準(zhǔn)數(shù)字邏輯CMOS工藝應(yīng)用于數(shù)字視頻領(lǐng)域的流水線模數(shù)轉(zhuǎn)換器,通過(guò)matlab的分析和優(yōu)化,建立了系統(tǒng)級(jí)的設(shè)計(jì)框架,然后根據(jù)系統(tǒng)需求的采樣率、輸出分辨率等要求計(jì)算出影響模數(shù)轉(zhuǎn)換器性能參數(shù)的限制指標(biāo)。再根據(jù)工藝參數(shù)和EDA工具設(shè)計(jì)出了晶體管級(jí)電路并進(jìn)行了全電路性能仿真。最后設(shè)計(jì)了整個(gè)模數(shù)轉(zhuǎn)換器和測(cè)試芯片的布局及版圖,完成了整個(gè)芯片設(shè)計(jì)的全部流程。期間主要的研究成果和工作有以下幾個(gè)方面:(1)一般流水線模數(shù)轉(zhuǎn)換器常用的動(dòng)態(tài)比較器需要從外部輸入?yún)⒖荚磁c輸入信號(hào)進(jìn)行比較然后輸出數(shù)字域的結(jié)果,而本文提出的無(wú)輸入?yún)⒖荚磩?dòng)態(tài)比較器不需要在比較器之外引入?yún)⒖荚炊抢帽容^器輸入對(duì)管的差別產(chǎn)生比較閾值,這樣減輕了參考源驅(qū)動(dòng)電路的負(fù)載,排除了外部電路對(duì)動(dòng)態(tài)比較器組成的子模數(shù)轉(zhuǎn)換器模塊的干擾,另外減少了外部引入?yún)⒖荚醋呔€的數(shù)量進(jìn)而減小了硅面積。(2)論文設(shè)計(jì)的低功耗高速模數(shù)轉(zhuǎn)換器使用了1.8V電源,為了減少開(kāi)關(guān)電容電路采樣的信號(hào)失真,提出了一種新型的開(kāi)關(guān)柵增壓電路,使得信號(hào)開(kāi)關(guān)器件的柵電壓與輸入信號(hào)無(wú)關(guān),保持開(kāi)關(guān)的導(dǎo)通電阻是常數(shù),而且在增壓傳輸路徑中的開(kāi)關(guān)導(dǎo)通電阻也與信號(hào)無(wú)關(guān),從而降低了信號(hào)的諧波失真,提高了電路的動(dòng)態(tài)范圍。此外,所有的NMOS開(kāi)關(guān)電路的襯底始終接在電路的最低電平上,這樣就使該電路可以在普通的0.18um 1.8V數(shù)字標(biāo)準(zhǔn)邏輯CMOS工藝上實(shí)現(xiàn),從而降低了芯片制造成本。(3)雖然流水線模數(shù)轉(zhuǎn)換器的冗余位數(shù)字校正(RSD)能夠消除一定的誤差,但是在低電壓應(yīng)用中,由于信號(hào)輸入幅度相對(duì)較高,因此冗佘校正后的誤差仍較大,為了保證整個(gè)模數(shù)轉(zhuǎn)換器依然有良好的線性度和良好的信噪比,論文提出了內(nèi)插冗余校正技術(shù)。該技術(shù)的原理是:由于根據(jù)系統(tǒng)定義的噪聲限制指標(biāo)和制造工廠提供的工藝匹配參數(shù)可以計(jì)算出第i級(jí)之后插入一級(jí)冗余校正級(jí)。因此內(nèi)插冗余校正級(jí)可以把第i級(jí)的輸出大于正常輸入范圍數(shù)倍之內(nèi)的信號(hào)做為輸入(主要是第1級(jí)到第i級(jí)累積的誤差并被MDAC電路放大引起的)然后輸出時(shí)校正到后級(jí)能接受的正常輸入范圍,這樣就可以避免最終模數(shù)轉(zhuǎn)換器輸出鉗位和飽和引起整個(gè)ADC的線性度和動(dòng)態(tài)范圍的下降。(4)為了兼容標(biāo)準(zhǔn)數(shù)字邏輯工藝,MDAC中沒(méi)有使用線性度較高的MiM電容,而是選擇了三明治式金屬層間電容(stack capacitor),這需要通過(guò)仔細(xì)提取金屬層間電容的寄生參數(shù)以確保電容的線性度能保證整個(gè)ADC的性能。(5)為了優(yōu)化電路的功耗和面積,論文設(shè)計(jì)的流水線模數(shù)轉(zhuǎn)換器采用了運(yùn)算放大器復(fù)用技術(shù),這樣可以讓相鄰的兩個(gè)MDAC共用一個(gè)運(yùn)算放大器,有效地降低了功耗和面積。論文進(jìn)行了兩次硅實(shí)驗(yàn),實(shí)驗(yàn)一是使用0.5um 2層多晶硅3層金屬CMOS混合信號(hào)工藝實(shí)現(xiàn)了1MHz采樣的10位流水線模數(shù)轉(zhuǎn)換器電路,驗(yàn)證滿(mǎn)足靜態(tài)參數(shù)特性和動(dòng)態(tài)參數(shù)性能的設(shè)計(jì)方法;實(shí)驗(yàn)二是使用0.18um 1.8V單層多晶硅6層金屬標(biāo)準(zhǔn)邏輯工藝實(shí)現(xiàn)了100MHz采樣的10位流水線模數(shù)轉(zhuǎn)換器。在模數(shù)轉(zhuǎn)換器的測(cè)試方面主要設(shè)計(jì)了高速電路應(yīng)用的PCB板和整個(gè)測(cè)試平臺(tái)環(huán)境搭建。實(shí)驗(yàn)一和實(shí)驗(yàn)二的DNL分別為0.71 LSB和0.47LSB;INL分別為0.8LSB和0.55LSB;實(shí)現(xiàn)的有效位(ENOB)分別為9.7位(1MHz采樣)和9.3位(100MHz采樣);芯片面積分別為1.7mm~2和0.98mm~2;功耗分別為45mW和63mW,其中實(shí)驗(yàn)二的功耗優(yōu)質(zhì)因子(FOM)和面積優(yōu)質(zhì)因子(FOM_A)分別為0.995pJ.V/Sa和1.55e-11mm~2/Sa,這兩個(gè)指標(biāo)達(dá)到了近幾年收錄在JSSC和ISSCC等國(guó)際核心刊物的流水線模數(shù)轉(zhuǎn)換器的研究成果,能夠?qū)崿F(xiàn)低功耗低硅面積數(shù)字視頻及SoC嵌入式應(yīng)用。

      應(yīng)用實(shí)例:

      三路搶答器

      圖 1 是智力競(jìng)賽用的三路搶答器電路。裁判按下開(kāi)關(guān) SA4,觸發(fā)器全部被置零,進(jìn)入準(zhǔn)備狀態(tài)。這時(shí) Q1 ~ Q3 均為 1,搶答燈不亮;門(mén) 1 和門(mén) 2 輸出為 0,門(mén) 3 和門(mén) 4 組成的音頻振蕩器不振蕩,揚(yáng)聲器無(wú)聲。

      競(jìng)賽開(kāi)始,假定 1 號(hào)臺(tái)搶先按下 SA1,觸發(fā)器 C1 翻轉(zhuǎn)成 Q1=1、Q1=0。于是: ① 門(mén) 2 輸出為 1,振蕩器振蕩,揚(yáng)聲器發(fā)聲; ②HL1 燈點(diǎn)亮; ③ 門(mén) 1 輸出為 1,這時(shí) 2 號(hào)、3 號(hào)臺(tái)再按開(kāi)關(guān)也不起作用。裁判宣布競(jìng)賽結(jié)果后,再按一下 SA4,電路又進(jìn)入準(zhǔn)備狀態(tài)。

      彩燈追逐電路

      圖 2 是 4 位移位寄存器控制的彩燈電路。開(kāi)始時(shí)按下 SA,觸發(fā)器 C1 ~ C4 被置成 1000,彩燈 HL1 被點(diǎn)亮。CP 脈沖來(lái)到后,寄存器移 1 位,觸發(fā)器 C1 ~ C4 成 0100,彩燈 HL2 點(diǎn)亮。第 2 個(gè) CP 脈沖點(diǎn)亮 HL3,第 3 個(gè)點(diǎn)亮 HL4,第 4 個(gè) CP 又把觸發(fā)器 C1 ~ C4 置成 1000,又點(diǎn)亮 HL1。如此循環(huán)往復(fù),彩燈不停閃爍。只要增加觸發(fā)器可使燈數(shù)增加,改變 CP 的頻率可變化速度。

      下載數(shù)字邏輯電路實(shí)驗(yàn)報(bào)告(合集5篇)word格式文檔
      下載數(shù)字邏輯電路實(shí)驗(yàn)報(bào)告(合集5篇).doc
      將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
      點(diǎn)此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶(hù)自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦

        數(shù)字邏輯電路課程設(shè)計(jì),拔河游戲機(jī)[精選5篇]

        數(shù)字邏輯電路課程設(shè)計(jì)報(bào)告 拔河游戲機(jī)摘要 1、任 務(wù) 與 要 求 : 電 子 拔 河 游 戲 機(jī) 是 一 種 能 容 納 甲 乙 雙 方 參 賽 或 甲 乙 雙 方加 裁 判 的 三 人 游 戲 電 路......

        數(shù)字電子鐘實(shí)驗(yàn)報(bào)告

        本科實(shí)驗(yàn)報(bào)告 學(xué)號(hào): 指導(dǎo)教師: 2016年7月19日 課程名稱(chēng): 姓名: 院系: 專(zhuān)業(yè): 電子電路安裝與調(diào)試 陳肖葦、李曉杰、張晨靖 信息與電子工程學(xué)院 電子科學(xué)與技術(shù) 3140104544 王子......

        2014-2015第一學(xué)期數(shù)字邏輯電路期末總結(jié)

        2014-2015第一學(xué)期數(shù)字邏輯電路期末總結(jié): 1、數(shù)字邏輯電路的基本概念、基本和復(fù)合邏輯運(yùn)算、基本邏輯分析方法(含化簡(jiǎn)和變換的方法); 2、基本硬件單元(如OD門(mén)、TSL門(mén)、傳輸門(mén)的等......

        《數(shù)字解調(diào)技術(shù)》實(shí)驗(yàn)報(bào)告

        《數(shù)字解調(diào)技術(shù)》實(shí)驗(yàn)報(bào)告班級(jí): 學(xué)號(hào): 姓名: 一、實(shí)驗(yàn)?zāi)康?1.掌握BPSK相干解調(diào)的原理。2.熟悉BPSK數(shù)字解調(diào)過(guò)程實(shí)現(xiàn)......

        數(shù)字電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告(5篇)

        數(shù)字電路設(shè)計(jì)實(shí)驗(yàn)報(bào)告 引言 本課程是面向智能車(chē)制作的課程,但是主要講述的是一些關(guān)于智能車(chē)制作的一些最基本的基礎(chǔ)知識(shí)。比如說(shuō)一些單片機(jī)的知識(shí)和一些相應(yīng)數(shù)字電路的知識(shí)。......

        數(shù)字電子時(shí)鐘實(shí)驗(yàn)報(bào)告

        華大計(jì)科學(xué)院 數(shù)字邏輯課程設(shè)計(jì)說(shuō)明書(shū) 題目: 多功能數(shù)字鐘 專(zhuān)業(yè): 計(jì)算機(jī)科學(xué)與技術(shù) 班級(jí):網(wǎng)絡(luò)工程1班 姓名:劉群 學(xué)號(hào): 1125111023 完成日期: 2013-9 一、設(shè)計(jì)題目與要求 設(shè)......

        大連海事大學(xué)數(shù)字邏輯電路課程設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告

        數(shù)字邏輯電路課程設(shè)計(jì)實(shí)驗(yàn)總結(jié)報(bào)告 題目一:用J-K觸發(fā)器設(shè)計(jì)13進(jìn)制加法計(jì)數(shù)器 一、設(shè)計(jì)過(guò)程:參見(jiàn)設(shè)計(jì)實(shí)驗(yàn)報(bào)告(真值表,卡諾圖)。 二、邏輯電路圖: 三、電路圖描述: 4個(gè)J-K觸發(fā)器同......

        《邏輯電路》教案

        《邏輯電路》教案 選修3-1 第二章 簡(jiǎn)單的邏輯電路教案一、 教材分析 課程標(biāo)準(zhǔn)的要求是“通過(guò)實(shí)驗(yàn),觀察門(mén)電路的基本作用。初步了解邏輯電路的基本原理以及在自動(dòng)控制中的應(yīng)用......