第一篇:EDA實(shí)習(xí)報告(自動售賣機(jī))
EDA技術(shù)及應(yīng)用實(shí)習(xí)報告
自動售賣機(jī)
信息13-2班06號
GMH
北華大學(xué)電氣信息工程學(xué)院
目 錄
一、設(shè)計任務(wù)功能簡介............................................................................2
1、設(shè)計任務(wù)......................................................................................2
2、主要功能......................................................................................2
二、原理、方案、框圖及任務(wù)分解........................................................2
1、原理...............................................................................................2
2、方案...............................................................................................2
3、框圖...............................................................................................3
4、任務(wù)分解......................................................................................3
三、關(guān)鍵任務(wù)的設(shè)計代碼及注釋............................................................4
四、調(diào)試中出現(xiàn)的問題及解決方案........................................................8
1、問題一...........................................................................................8
2、問題二...........................................................................................8
五、產(chǎn)業(yè)化市場分析及預(yù)測....................................................................8
六、測試及運(yùn)行結(jié)果................................................................................9
七、參考文獻(xiàn)...........................................................................................1
一、設(shè)計任務(wù)功能簡介
1、設(shè)計任務(wù)
自動售貨機(jī)設(shè)計。利用軟件QuartusII軟件,使用VHDL語言結(jié)合硬件要求對本題目設(shè)計功能進(jìn)行編程,并在完成整個硬件程序設(shè)計后,在軟件平臺上進(jìn)行編譯和電路仿真,最后生成的目標(biāo)文件下載到DE2-70實(shí)驗(yàn)板上實(shí)現(xiàn)上述所有功能并驗(yàn)證程序的可行性。
2、主要功能
本設(shè)計主要實(shí)現(xiàn)的功能為:貨物信息存儲功能、商品選擇功能、輸入錢數(shù)處理功能、貨架商品更換功能、語音提示功能、信息顯示等功能。
二、原理、方案、框圖及任務(wù)分解
1、原理
自動售貨機(jī)(Vending Machine,VEM)是能根據(jù)投入的錢幣自動付貨的機(jī)器。自動售貨機(jī)是商業(yè)自動化的常用設(shè)備,它不受時間、地點(diǎn)的限制,能節(jié)省人力、方便交易。是一種全新的商業(yè)零售形式,又被稱為24小時營業(yè)的微型超市。能分為三種:飲料自動售貨機(jī)、食品自動售貨機(jī)、綜合自動售貨機(jī)。自動售貨機(jī)是臺機(jī)電一體化的自動化裝置,在接受到貨幣已輸入的前提下,靠觸摸控制按 扭輸入信號使控制器啟動相關(guān)位置的機(jī)械裝置完成規(guī)定動作,將貨物輸出。
2、方案
根據(jù)題目要求機(jī)器設(shè)有2個投幣孔,機(jī)器可以接受1元和5元的紙幣,分別有八種商品,價格分別為1元,4元,2元,3元,6元,7元,5元,8元,機(jī)器采用撥碼開關(guān)模擬機(jī)器的復(fù)位鍵、確認(rèn)購買鍵和商品選擇,并且通過開發(fā)板上的LED等分別顯示購買的不同情況,數(shù)碼管實(shí)時顯示購買金額,包括投入的價錢,找零的價錢。此設(shè)計按照EDA課程設(shè)計 的流程進(jìn)行源代碼的編寫、仿真。本設(shè)計主要利用QuartusⅡ等設(shè)計軟件進(jìn)行設(shè)計。
3、框圖 依題目要求設(shè)計流程框圖如下所示:
圖2-1 程序流程圖
4、任務(wù)分解
本設(shè)計主要包括按鍵模塊、顯示模塊、語音提示模塊。設(shè)計程序任務(wù)如下圖:
圖2-2 程序任務(wù)圖
任務(wù)一:存儲商品信息。商品名稱及商品價格等信息。
任務(wù)二:響應(yīng)用戶選擇商品的操作。用戶用過按鍵選擇商品,售賣機(jī)要實(shí)時顯示商品名稱及價格信息。任務(wù)三:貨幣處理。待用戶選擇商品結(jié)束,售賣機(jī)要接收用戶投幣,包括判斷紙幣的面額、總金額、是否投幣結(jié)束等信息。
任務(wù)四:人性化設(shè)計。售賣機(jī)要有良好的人性化交互界面,以及必要的文字、語音等提示信息。
三、關(guān)鍵任務(wù)的設(shè)計代碼及注釋
10000“;
elsif item =”100“ then item_dis <=”10011001“;money_dis<=”11111001“;
elsif item =”101“ then item_dis <=”10010010“;money_dis<=”10011001“;
elsif item =”110“ then item_dis <=”10000010“;money_dis<=”10110000“;
elsif item =”111“ then item_dis <=”11111000“;money_dis<=”10000000“;end if;end if;else
item_dis<=”11111111“;money_dis 商品選擇進(jìn)程設(shè)計: data:process(START,sel_add)begin
if START='1' then
f sel_add'event and sel_add='1' then item<=item+1;if item =”000“ then item_dis <=”11000000“;money_dis<=”10011001“;elsif item =”001“ then item_dis <=”11111001“;money_dis<=”11111000“;elsif item =”010“ then item_dis <=”10100100“;money_dis<=”10100100“;elsif item =”011“ then item_dis <=”10110000“;money_dis<=”101<=“11111111”;price <=“0000”;end if;end process data;-------------------------付錢進(jìn)程設(shè)計:
pay:process(finish ,one,five)begin if finish='1' then if one'event and one ='1' then pay_money_one<=pay_money_one+1;
if five'event and five ='1' then pay_money_five<=pay_money_five+5;end if;pay_money
<=
when “0001” => <=
pay_money_dis_g
“11111001”;pay_money_dis_s <= “11000000”;when
“0010”
=> <=
pay_money_dis_g
“10100100”;pay_money_dis_s <= “11000000”;when
“0011”
=> <=
pay_money_dis_g
“10110000”;pay_money_dis_s <= “11000000”;when
“0100”
=> <=
pay_money_dis_g
“10011001”;pay_money_dis_s <= “11000000”;when
“0101”
=> <= pay_money_five+pay_money_one;case pay_money is when “0000”
=> <=
pay_money_dis_g
“10010010”;pay_money_dis_s <= “11000000”;when
“0110”
=> <= pay_money_dis_g “11000000”;pay_money_dis_s <= “11000000”;
pay_money_dis_g “10000010”;pay_money_dis_s <= “11000000”;when “0111”
=> <=
when “1100” => <=
pay_money_dis_g
“10100100”;pay_money_dis_s <= “11111001”;when
“1101”
=> <= pay_money_dis_g “11111000”;pay_money_dis_s <= “11000000”;when “1000”
=> <=
pay_money_dis_g
“10110000”;pay_money_dis_s <= “11111001”;when
“1110”
=> <= pay_money_dis_g “10000000”;pay_money_dis_s <= “11000000”;when “1001”
=> <=
pay_money_dis_g
“10011001”;pay_money_dis_s <= “11111001”;when
“1111”
=> <= pay_money_dis_g “10010000”;pay_money_dis_s <= “11000000”;when “1010”
=> <=
pay_money_dis_g
“10010010”;pay_money_dis_s <= “11111001”;
when others => pay_money_dis_g <=“11000000”;pay_money_dis_g “11000000”;pay_money_dis_s <= “11111001”;when “1011”
=> <=
pay_money_dis_s <= pay_money_dis_g “11000000”;end case;
if pay_money >= price then “11111001”;pay_money_dis_s <= “11111001”;back <= pay_money-price;case back is when “0000” => money_back_dis <= “11000000”;when “0001” => money_back_dis <= “11111001”;when “0010” => money_back_dis <= “10100100”;when “0011” => money_back_dis <= “10110000”;when “0100” => money_back_dis <= “10011001”;when “0101” => money_back_dis <= “10010010”;when “0110” => money_back_dis <= “10000010”;when “0111” => money_back_dis <= “11111000”;when “1000” => money_back_dis <= “10000000”;
when “1001” => money_back_dis <= “10010000”;
when others => money_back_dis <=“11000000”;
----------------------聲音提示進(jìn)程設(shè)計:
int:process(START,finish)
begin
if
START ='1' and
finish = '0' then sound<=“01”;
elsif START ='1' and
finish = '1' then sound<=“10”;
elsif START ='0' and
finish = '0' then sound<=“11”;
end if;
end process int;
----------------------end architecture behav;
四、調(diào)試中出現(xiàn)的問題及解決方案
1、問題一 問題描述:本設(shè)計語音芯片采用LJ600,選擇揚(yáng)聲器輸出模式,可存儲6段語音片段,對應(yīng)6個引腳下降沿觸發(fā)且低電平至少維持1ms,語音觸發(fā)之后必須處于高電平狀態(tài),否則影響其他語音輸出。綜上描述,觸發(fā)信號應(yīng)為一個門信號。由于系統(tǒng)未引入時鐘,且在同一進(jìn)程中不可對輸出信號重復(fù)賦值,產(chǎn)生問題。
解決辦法:代碼如下圖,在if語句中實(shí)現(xiàn)狀態(tài)跳轉(zhuǎn)。
2、問題二 變量初始化狀態(tài)必須明確定義高低電平。即狀態(tài)輸出時,必須考慮高低電平兩種狀態(tài),不可以出現(xiàn)不確定狀態(tài)。完全按照語法要求。
五、產(chǎn)業(yè)化市場分析及預(yù)測
隨著信息技術(shù)的發(fā)展及人們生活水平的不斷提高,人們的消費(fèi)觀念及消費(fèi)需求都在不斷地改變和提高。自動售賣機(jī)正是為了滿足人們的這種需求而在多年前被引入國內(nèi)的。但早期的自動售賣機(jī)在國內(nèi)并未獲得預(yù)期的市場反應(yīng)及消費(fèi)者的廣泛使用?,F(xiàn)階段大多數(shù)的自動售 賣機(jī)只投放在國內(nèi)一線城市,銷售的貨品也只停留在零食、飲料、飲用水、計生用品等有限的幾樣品種。在零售業(yè)市場競爭日趨白熱化的今天,壓縮經(jīng)營成本是增強(qiáng)企業(yè)市場競爭力的一個主要手段。然而無論是連鎖超市還是便利店,日益高漲的店面租金及人力成本,已經(jīng)成為他們無法忽視也無法回避的沉重負(fù)擔(dān)。而自動售賣機(jī)卻完全沒有上述所說的麻煩,它24小時營業(yè)、售貨便利、方便找零,占地面積?。ㄒ话銉H需1平方米)、移動性強(qiáng),無須常駐人員盯守、省人工成本,時尚新穎、個性獨(dú)特、集高科技于一體,有利于樹立品牌形象,養(yǎng)護(hù)費(fèi)用低,日常免維護(hù)……這些優(yōu)勢勢必引領(lǐng)潮流,使得自動售賣機(jī)日發(fā)成為市場新寵,在零售業(yè)市場占領(lǐng)一席之地。
六、測試及運(yùn)行結(jié)果
售賣機(jī)整體結(jié)構(gòu)如下圖所示:
圖6-1 整體結(jié)構(gòu)
商品0的價格為4元。
商品1價格為7元。
商品7價格為8元,輸入11元,找零3元。
商品選擇按鈕及確定按鍵。
七、參考文獻(xiàn)
[1]潘松,黃繼業(yè).EDA技術(shù)與VHDL.第4版.北京:清華大學(xué)出版社,2013.4 [2]潘松,王國棟.VHDL實(shí)用教程(修訂版).成都:成都電子科技大學(xué)出版社,2001 [3]曾繁泰,陳美金.VHDL程序設(shè)計.北京:清華大學(xué)出版社,2001.1
第二篇:自動售賣機(jī)創(chuàng)業(yè)計劃書
自動售賣機(jī)創(chuàng)業(yè)計劃書
一、封皮
二、目錄
三、項(xiàng)目介紹
此項(xiàng)目是大學(xué)生開路人創(chuàng)業(yè)團(tuán)隊于廣泛的****(自己輸入)學(xué)院市場調(diào)查之后而成型的。項(xiàng)目的主營業(yè)務(wù)為自動販賣機(jī)售賣飲料及零食的服務(wù),副營業(yè)務(wù)是新形勢的廣告推廣。
主營業(yè)務(wù)即自動販賣服務(wù)。業(yè)務(wù)成本以換代和購進(jìn)飲料,零食的費(fèi)用為主,以定期維修檢查兜售機(jī)和投入資金對兜售機(jī)進(jìn)行升級為輔。副營業(yè)務(wù)即新形勢的廣告推廣。業(yè)務(wù)主要內(nèi)容為免費(fèi)送出紙巾并通過紙巾對商家進(jìn)行廣告宣傳。
在參與本次市場調(diào)查的肇園學(xué)子中,大約有75/.的同學(xué)認(rèn)為在宿舍和球場等公共場所購買飲料及零食存在不便利的情況。迎合市場需求,項(xiàng)目計劃在宿舍區(qū)的一樓門口附近及各運(yùn)動場附近,設(shè)立自動販賣服務(wù)點(diǎn),并配臵一臺或多臺自動販賣機(jī),可以很好滿足同學(xué)們的需求。于此,該項(xiàng)目的發(fā)展前景可觀。
據(jù)市場調(diào)查分析,目前****(自己輸入)學(xué)院只有圖書館一樓設(shè)有兜售機(jī)販賣服務(wù)點(diǎn),可見該項(xiàng)目的運(yùn)行沒有同行競爭,市場和潛力可觀。
四、可行性分析
該項(xiàng)目屬于全自動自助服務(wù),不需要人力經(jīng)營,只需保證電源充足即可進(jìn)行運(yùn)作兜售。兜售機(jī)的運(yùn)作,易于操作,吸引力強(qiáng),可行性高。
分析學(xué)校的田徑場、籃球場、體育館、排球場等運(yùn)動場地,一方面,這些場地日常舉辦比賽較多,人流量較大。另一方面,運(yùn)動場周圍缺乏能夠提供各種飲料的相應(yīng)設(shè)施,運(yùn)動場本身坐落離飯?zhí)靡蚕鄬^遠(yuǎn),不能及時滿足運(yùn)動場上的人的需求。所以,在田徑 場、籃球場、體育館、排球場等運(yùn)動場地,設(shè)立兜售機(jī)放臵點(diǎn)的可行性是很高的。
分析****(自己輸入)學(xué)院各宿舍區(qū),同樣缺乏能夠提供各種飲料的相應(yīng)設(shè)施。對于學(xué)生來說,回到宿舍后一般都不愿意再次走出宿舍區(qū)。因此,這部分的市場潛力很大,放臵自動售賣機(jī)在方便學(xué)生的同時也能實(shí)現(xiàn)盈利。自動售賣機(jī) 不受時間、地點(diǎn)的限制,能節(jié)省人力、方便交易。同時它對人力的要求也不多,平時只需安排一些人員定期維護(hù)售賣機(jī)和給售賣機(jī)更新商品和收取貨款。我們的市場主要定位在****(自己輸入)學(xué)院主校區(qū)。****(自己輸入)學(xué)院主校區(qū)有兩萬多人,每天都要消耗大量飲料,而且周轉(zhuǎn)率很高。在飲料方面有著巨大的市場潛力。自動售賣機(jī)的網(wǎng)上價格為一臺6000元到30000元不等,較為昂貴??梢韵荣I兩臺來試點(diǎn),這樣可以降低初期資金的投入。因此,綜上所述自動售賣機(jī)的創(chuàng)業(yè)計劃的可行性較高。
五、產(chǎn)業(yè)分析
1、產(chǎn)業(yè)定義
一種全新的商業(yè)零售形式,又被稱為24小時營業(yè)的微型超市。能分為三種:飲料自動售貨機(jī)、食品自動售貨機(jī)、綜合自動售貨機(jī)。
又可有效利用販賣的紙巾來做廣告,利用販賣機(jī)身貼廣告來增加收益。
2、產(chǎn)業(yè)規(guī)模.增長速度和銷售預(yù)測 產(chǎn)業(yè)規(guī)模:先試點(diǎn)再擴(kuò)大經(jīng)營
先在籃球場等人流量大,對水的需求量大的地方挑選兩個作為試點(diǎn)地點(diǎn),然后根據(jù)試點(diǎn)的銷售結(jié)果估計學(xué)校售賣機(jī)的需求量,用初步盈利的利潤來擴(kuò)大經(jīng)營規(guī)模。
增長速度:依據(jù)試點(diǎn)成果如何而定 如果試點(diǎn)的結(jié)果達(dá)到預(yù)期,就可以用試點(diǎn)的利潤來投資售賣機(jī),再通過銀行貸款等途徑集資以擴(kuò)大規(guī)模。
銷售預(yù)測:從售貨機(jī)的商品銷售額看,美國為300億美元上下,日本為600億美元;再如,美國平均每40人擁有1臺售貨機(jī),而日本每23人就擁有1臺售貨機(jī)。平均每個日本人每年在售貨機(jī)上購買5萬多日元(近500美元)的商品,而美國僅為120美元。日本自動售賣機(jī)中的香煙售貨機(jī)2003年有62.6萬臺,銷售額為約2萬億日元。售貨機(jī)的香煙銷售金額占日本香煙總零售額的4成多,數(shù)量占一半以上。日本最大的卷煙企業(yè)日本煙草公司擁有15萬臺香煙售貨機(jī)。中國的自動售貨機(jī)擁有量約為2萬至3萬臺,平均幾萬人1臺,簡直是微不足道。專家預(yù)測,未來幾年中國市場上的自動售貨機(jī)將每年增加5萬到10萬臺。
而對于市場潛力巨大的****(自己輸入)學(xué)院,售賣機(jī)的銷售額是可想而知的。
3、產(chǎn)業(yè)特征
一種全新的商業(yè)零售形式 遠(yuǎn)期的前景
在我國,特別是北京、上海、廣州和一些大城市,在機(jī)場、地鐵、商場、公園等客流較大的場所,不難發(fā)現(xiàn)自動售貨機(jī)的身影。顧客只要插入紙幣或硬幣,就可以方便地買到飲料、餅干和一些小食品。與售貨機(jī)王國日本相比,中國的自動售貨機(jī)商業(yè)還有很長的路要走。中國的自動售貨機(jī)擁有量約為2萬至3萬臺,平均幾萬人1臺,簡直是微不足道。專家預(yù)測,未來幾年中國市場上的自動售貨機(jī)將每年增加5萬到10萬臺。中國沿海比較富裕的地區(qū),將大約有3.5億人口經(jīng)常使用自動售貨機(jī)。
六、市場分析
1、市場細(xì)分和目標(biāo)市場選擇 自動販賣機(jī)全面面向****(自己輸入)學(xué)院兩萬多名在校學(xué)生,具體市場在學(xué)生的住宿區(qū)為學(xué)生提供飲料、零食等服務(wù)性消費(fèi)市場。
這是與當(dāng)代大學(xué)生的生活密切聯(lián)系的,因?yàn)楫?dāng)代大學(xué)生已與往年不同,現(xiàn)在的大學(xué)生很熱愛運(yùn)動而且對飲料的依賴性也與日俱增。而在學(xué)生的生活區(qū)恰恰缺少了提供飲料的場所,所以便有這樣一個市場。因?yàn)閷W(xué)校的售賣點(diǎn)比較集中,如二飯三飯四飯相距不遠(yuǎn),一飯又沒什么知名度,無法滿足學(xué)生對于水的需求。
開路人力爭在一年內(nèi)打造成為****(自己輸入)學(xué)院的知名品牌,迅速占領(lǐng)****(自己輸入)學(xué)院的所有大學(xué)生這一廣大消費(fèi)市場,辦出自己的特色,形成自己的良好口碑,爭取在****(自己輸入)學(xué)院消費(fèi)市場占得自己的天地并陸續(xù)擴(kuò)大消費(fèi)面積。
2、競爭者分析
競爭者主要是****(自己輸入)學(xué)院的商場和各個飯?zhí)玫男≠u部,而他們明顯的缺點(diǎn)在于,無法顧及宿舍區(qū)的學(xué)生和那些運(yùn)動過后而口渴的學(xué)生。而開路人的自動販賣機(jī)計劃能彌補(bǔ)這一方面的不足,能給****(自己輸入)學(xué)院學(xué)子帶來更多的方便。
3、競爭優(yōu)勢分析
A、現(xiàn)在學(xué)校沒有自動販賣機(jī),市場需求大 B、學(xué)校超市有固定營業(yè)時間,昂貴且不便利 C、自動販賣機(jī)上的廣告不會影響校園環(huán)境
七、運(yùn)營計劃
1、運(yùn)營目標(biāo):向****(自己輸入)學(xué)院的學(xué)生提供飲料,零食等服務(wù),方便學(xué)生在空余時間或是運(yùn)動完后不必走太遠(yuǎn)便能買到飲料或零食。
2、運(yùn)營戰(zhàn)略:先在學(xué)校人流量較多的地方擺設(shè)1~2臺自動售賣機(jī)試點(diǎn),例如籃球場,體育館,教學(xué)樓外,宿舍樓。
3、價格策略:前期需采取“薄利多銷”的戰(zhàn)略,即我們的自動售賣機(jī)里的商品的價格和市場價格一樣,再通過加大宣傳力度,加大銷售量,以獲得規(guī)模效益。
4、銷售過程和促銷組合
銷售過程包括宣傳工作,市場分析等方面。比如,可通過宣傳海報,與學(xué)校進(jìn)行協(xié)商,還可以利用人際關(guān)系去互相宣傳。此外需注意學(xué)生喝飲料會受到季節(jié)影響,冬季大多喜歡喝熱飲。因此在銷售過程中要注意季節(jié)變化。促銷組合方面注意針對****(自己輸入)學(xué)院師生這一專門市場,為他們提供所需的各種飲料和零食。
八、管理團(tuán)隊
主要負(fù)責(zé)人 : 宣傳與推廣負(fù)責(zé)人: 對外聯(lián)系人: 自動售賣機(jī)管理人:
九、資金來源與分配
1、資金來源
預(yù)計初步投入資金10萬人民幣,其中40%來至各合伙人集資,60%來至各合伙人的個人小額貸款。后期預(yù)計投入40萬人民幣,其中包含初步的盈利、銀行貸款。初步的盈利包括銷售以及廣告的利潤。銀行貸款可通過個人創(chuàng)業(yè)貸款獲得,個人創(chuàng)業(yè)貸款最高可貸50萬元。
2、資金分配
投入資金的80%用于購買自動售賣機(jī)及商品,20%用于運(yùn)營期的維護(hù)與管理。所得利潤將做為擴(kuò)大規(guī)模的投入資金或是合伙人收益。
十、風(fēng)險預(yù)測
1、風(fēng)險評估 ①外部風(fēng)險:
A、政策風(fēng)險:學(xué)校規(guī)定禁止在宿舍區(qū)進(jìn)行商業(yè)活動,兜售機(jī)的投入使用需承擔(dān)與學(xué)校協(xié)商合作的預(yù)算。
B、市場需求風(fēng)險:兜售機(jī)為24小時營業(yè),受假期也處于基本人流狀態(tài)的影響導(dǎo)致收益減少。
C、貨源風(fēng)險:由于運(yùn)輸、氣候、廠商供貨不足產(chǎn)生的貨源風(fēng)險?;虍a(chǎn)品或服務(wù)出現(xiàn)質(zhì)量問題,競爭對手出現(xiàn)提供了更好的產(chǎn)品或服務(wù)。
D、行業(yè)風(fēng)險:未來市場可能發(fā)生變化,新一代技術(shù)出現(xiàn),互為替代品增多,投入更少,產(chǎn)出更多。該項(xiàng)目的競爭力下降。
E、資金風(fēng)險:盈利少,投入大,資金運(yùn)轉(zhuǎn)不足。F、同行業(yè)出現(xiàn),競爭力強(qiáng)。②內(nèi)部風(fēng)險:
A、管理風(fēng)險:管理經(jīng)驗(yàn)不足,管理制度不完善,團(tuán)隊出現(xiàn)問題,團(tuán)隊成員矛盾重重。
B、人力資源風(fēng)險:團(tuán)隊人員辭退多,導(dǎo)致團(tuán)隊人力資源減少。
C、財產(chǎn)保護(hù)風(fēng)險:兜售機(jī)一般位于公共場地,人流多。室外容易磨損。沒有保護(hù)措施,容易遭到竊匪破壞拿走物品。
D、維修風(fēng)險:兜售機(jī)自身的維修費(fèi)用。
E、決策風(fēng)險:對于市場定位不到位,造成決策失誤,不利于項(xiàng)目長遠(yuǎn)發(fā)展。
F、其他
2、解決辦法
①外部風(fēng)險 A、針對政策風(fēng)險:團(tuán)隊可以與學(xué)校協(xié)商,簽訂合同,承諾書等措施,爭取到售貨機(jī)放臵地點(diǎn)。
B、針對市場需求情況:可以假期不開放兜售機(jī)并且對兜售機(jī)進(jìn)行統(tǒng)一清理放臵。定期進(jìn)行市場意向調(diào)查,了解項(xiàng)目進(jìn)展程度,做好長期發(fā)展預(yù)案。
C、針對貨源風(fēng)險:提前跟廠家簽訂貨源供應(yīng)合同,明細(xì)貨源短缺責(zé)任方。做好預(yù)案,發(fā)生貨源短缺情況及時聯(lián)系其他廠家,并與原廠家協(xié)商獲取賠償金等相關(guān)明細(xì)。
D、針對行業(yè)風(fēng)險:重視技術(shù)創(chuàng)新和運(yùn)營方式創(chuàng)新,做好提高市場競爭力預(yù)案。
E、針對資金風(fēng)險:做好融資工作,財務(wù)明細(xì)。增強(qiáng)銀行誠信程度,更好取得貸款。完善廣告宣傳,拉取更多企業(yè)下方資金加盟。
F、針對同行業(yè)競爭風(fēng)險:在項(xiàng)目起步階段,做好預(yù)案,規(guī)劃和管理好整個項(xiàng)目,在****(自己輸入)學(xué)院樹立一定的口碑,積累一定的資本,鞏固起步之基。當(dāng)同行業(yè)出現(xiàn)時,我們便可即時對該項(xiàng)目進(jìn)行改進(jìn)和重組,爭取占取更多的市場份額。
②內(nèi)部風(fēng)險:
A、關(guān)于管理風(fēng)險和決策風(fēng)險:要求管理者思想覺悟要高,自覺學(xué)習(xí)管理的知識。其次,團(tuán)隊投入資金,召開定期培訓(xùn)和業(yè)績考核,完善管理制度和職位明細(xì)制度,建設(shè)有特色的管理團(tuán)隊。此外還應(yīng)加強(qiáng)與其他公司的交流,學(xué)習(xí)管理經(jīng)驗(yàn),規(guī)避管理混亂,決策失誤等風(fēng)險。
B、關(guān)于人力資源風(fēng)險:建立相應(yīng)的內(nèi)部福利制度體系,獎勵有創(chuàng)新有業(yè)績的員工,定期進(jìn)行員工考核,能者上位。舉辦內(nèi)部戶外考察、聯(lián)誼等活動,凝聚團(tuán)隊競爭力。C、關(guān)于財產(chǎn)保護(hù)風(fēng)險和維修風(fēng)險:做好文明宣傳工作,在兜售機(jī)上寫好文明細(xì)則,提醒勿破壞兜售機(jī)。并進(jìn)行有獎舉報活動,提倡全民參與反對盜竊行為。投入資金,對兜售機(jī)定期進(jìn)行檢查維修,在兜售機(jī)旁邊可以放臵防御設(shè)備等,做好預(yù)案。
開路人創(chuàng)業(yè)團(tuán)隊
2014日11月24日
第三篇:自動售賣機(jī)場地租賃合同
自動售賣機(jī)場地使用合同
甲方(出租方): 乙方(承租方):
為保障雙方合法權(quán)益,根據(jù)《中華人民共和國合同法》及有關(guān)規(guī)定,經(jīng)友好協(xié)商,甲乙雙方本著平等自愿、協(xié)商一致的原則,特制定本合同,以資共同遵守。第一條、使用場地位置、面積與用途
1.1、乙方在甲方場地投放自動售貨機(jī),甲方提供場地位于,數(shù)量 臺。1.2、上款所稱場地是指由乙方擺放自動售貨機(jī)時使用的場地。場地由甲乙雙方共同商議確定后,自本合同簽訂之日內(nèi),乙方將所有自動售貨機(jī)投入調(diào)試完畢,由雙方共同確認(rèn)后方可開始供貨銷售。第二條、租賃期限
2.1、租賃期限:自_____年___月___日起至_____年___月___日止;
2.2、承租期滿前兩個月,若乙方希望繼續(xù)承租,應(yīng)書面告知甲方,在同等條件下甲方應(yīng)優(yōu)先考慮乙方的承租權(quán)利,經(jīng)甲乙雙方協(xié)商一致后辦理續(xù)租手續(xù),逾期告知視為放棄;
2.3、在合同履行期間,因不可抗力導(dǎo)致本合同租賃標(biāo)的物滅失或不適于繼續(xù)使用,本合同自發(fā)生不可抗力之日起自動終止。雙方互不承擔(dān)任何責(zé)任。第三條、租金及支付方式
3.1、每臺租金為元/月,(大寫): 人民幣,共 臺,合計金額為: /年,(大寫): 人民幣;
3.、2付款方式:按半年付款,半年合計金額(大寫); 人民幣,乙方向甲方提前一個月支付下半年場地租金; 3.3、甲乙雙方賬號信息
甲方賬號:
開 戶 行: 名 稱: 乙方賬號: 開 戶 行: 名 稱:
3.4、續(xù)租付款方式:承租期滿前,若乙方希望繼續(xù)承租甲方場地,應(yīng)甲方要求,乙方可在續(xù)租期滿前一個月,支付甲方租賃費(fèi)用; 第四條、雙方權(quán)利義務(wù)
4.1、乙方保證自動售貨機(jī)內(nèi)貨品符合國家食品安全標(biāo)準(zhǔn)相關(guān)的法律法規(guī),自動售貨機(jī)每一個投放點(diǎn)均在當(dāng)?shù)厥乘幈O(jiān)局備案(備案資料復(fù)印件交甲方留存),如有質(zhì)量安全問題由乙方全權(quán)負(fù)責(zé)處理以及賠償;
4.2、自動售貨機(jī)運(yùn)行期間,每臺機(jī)器上均明顯標(biāo)識有售后客服電話和賠付方式。如出現(xiàn)卡貨、未找零、投錢未出貨等機(jī)械故障,消費(fèi)者可及時致電客服人員,經(jīng)系統(tǒng)核查,客服人員將把卡貨、未找零、投錢未出貨的相應(yīng)金額,通過支付寶全額賠付以充話費(fèi)形式補(bǔ)償給每位消費(fèi)者。
4.3、甲方應(yīng)向乙方所投放的自動售貨機(jī)提供電源以及相應(yīng)支持,要求為16A獨(dú)立支持電源;甲方并保證所有自動售貨機(jī)在正常情況下24小時通電(停電檢修或其它不可抗力情形除外),電費(fèi)由乙方自行承擔(dān)。
4.4、如自動售貨機(jī)投放場地的地面不符合擺放要求,甲方應(yīng)允許在擺放設(shè)備處做地面硬化處理,硬化面積為1.5m*1m,由乙方施工隊負(fù)責(zé)施工;
4.5、甲方應(yīng)盡可能將自動售貨機(jī)擺放在防雨處,如不能按理想位置擺放,乙方負(fù)責(zé)防雨棚的制作以及安裝;
4.6、甲方有義務(wù)保證乙方設(shè)備不受人為損毀,發(fā)現(xiàn)損壞行為正在發(fā)生的應(yīng)當(dāng)及時制止、做好記錄,并立即通知乙方。如有人為損毀甲方需配合乙方進(jìn)行處理,后期清潔、清理、維修等事宜由乙方承擔(dān);乙方不放棄追究損壞人責(zé)任的權(quán)利; 4.7、產(chǎn)品配送及設(shè)備售后服務(wù)由乙方全部承擔(dān);
4.8、乙方配送貨物及促銷活動甲方應(yīng)配合協(xié)調(diào);
4.9、因不可抗力導(dǎo)致本合同無法履行,雙方免責(zé),并互相協(xié)助爭取相應(yīng)補(bǔ)償; 4.10、如遇供電部門計劃停電8小時以上時,甲方有責(zé)任提前一天通知乙方,如遇臨時斷電,甲方也應(yīng)通知乙方,方便乙方轉(zhuǎn)移貨品等其它事宜;如因甲方未盡到通知義務(wù),導(dǎo)致停電后貨品受損變質(zhì)的,損壞部分的貨品價值以成本價(或售價)在給甲方的租金中扣除;
4.11、乙方在甲方場地經(jīng)營的利益應(yīng)受到保護(hù),甲方在本合同期內(nèi)應(yīng)不再接受同類產(chǎn)品的同類經(jīng)營模式的進(jìn)入;否則乙方有權(quán)利立即解除合同,并要求甲方甲方退還乙方已支付未使用的租金;
4.12、租賃期間甲乙雙方經(jīng)友好協(xié)商,可對自動售貨機(jī)已投放的場地做調(diào)整; 4.13、在租賃期間內(nèi),乙方可根據(jù)經(jīng)營情況提前解除本合同,但應(yīng)提前一個月書面通知甲方。合同解除后,乙方自行拆除所有自動售貨機(jī),恢復(fù)租用場地原狀,甲方應(yīng)退還乙方已支付未使用的租金;
4.14、合同期內(nèi)甲方經(jīng)營計劃調(diào)整需解除合同的,甲方有權(quán)提前15天書面通知乙方提前解除本合同,雙方據(jù)實(shí)結(jié)算使用費(fèi)。4.15、因售貨機(jī)電源故障導(dǎo)致人員傷亡由乙方承擔(dān)。第五條、適用法律及爭端解決
雙方產(chǎn)生爭端應(yīng)友好協(xié)商,互諒互讓,協(xié)商不成,任何一方可向合同履行地的人民法院提起訴訟。第六條、通則
6.1、在本合同履行期間,如有合同未盡事宜,經(jīng)雙方協(xié)商一致以書面形式簽訂補(bǔ)充協(xié)議,補(bǔ)充協(xié)議屬于本合同的組成部分,與本合同具有同等法律效力。6.2、本合同由甲乙雙方授權(quán)代表簽字并蓋章之日生效,本合同一式肆份,甲方壹份,乙方叁份,具有同等法律效力。
年 月
甲方: 乙方:
授權(quán)代表: 授權(quán)代表:
電話: 電話:
日
第四篇:校園卡自動售賣機(jī)營銷策劃書
校園卡自動售賣機(jī)營銷策劃書
自動售貨機(jī)(Vending Machine,VEM)是能根據(jù)投入的錢幣自動付貨的機(jī)器。自動售貨機(jī)是商業(yè)自動化的常用設(shè)備,它不受時間、地點(diǎn)的限制,能節(jié)省人力、方便交易。是一種全新的商業(yè)零售形式,又被稱為24小時營業(yè)的微型超市。能分為三種:飲料自動售貨機(jī)、食品自動售貨機(jī)、綜合自動售貨機(jī)。
校園卡是指我國高校為了方便學(xué)生和自己的管理而發(fā)行一種特殊IC卡。校園卡具有實(shí)名制,是在校大學(xué)生能驗(yàn)證身份的憑證之一,校園內(nèi)的所有資費(fèi)使用都可通過校園卡進(jìn)行交易,例如:食堂就餐等?!靶@卡”免費(fèi)發(fā)放給教職工、輔導(dǎo)員、學(xué)生。在校園內(nèi),“校園卡”集工作證、圖書證、乘車證、進(jìn)餐卡、門禁卡、錢包、存折等功能于一卡,真正實(shí)現(xiàn)“一卡在手,走遍校園”。目前,校園一卡通從技術(shù)上已完成與后勤管理處(食堂售飯、開水、乘車、商業(yè)消費(fèi)等系統(tǒng))、圖書館(圖書館自動化管理系統(tǒng))、實(shí)驗(yàn)中心(機(jī)房上機(jī)管理系統(tǒng))、教育技術(shù)中心(宿舍區(qū)上網(wǎng)認(rèn)證計費(fèi)系統(tǒng))等對接。進(jìn)一步還會與人事處(人力資源管理系統(tǒng))、教務(wù)處(數(shù)字教務(wù)系統(tǒng))、財務(wù)處(財務(wù)管理系統(tǒng))、學(xué)工處(學(xué)生心理咨詢等系統(tǒng))等部門研究論證對接方式。
本項(xiàng)目計劃將校園卡與自動售賣機(jī)進(jìn)行技術(shù)上的融合,推出校園卡自動售賣機(jī),為高校學(xué)生節(jié)省時間和人力,真正實(shí)現(xiàn)“一卡在手,走遍校園”。
一、環(huán)境分析
(1)微觀環(huán)境分析
顧客:校園卡自動售賣機(jī)的顧客群體即為校園卡的持有者,包括教職工、輔導(dǎo)員、學(xué)生。我校自動售賣機(jī)主要分布在各宿舍樓和教學(xué)樓,因此最主要的目標(biāo)顧客群是全體在校學(xué)生。
競爭者:自動售賣機(jī)的最主要競爭者是校園內(nèi)已有的便利店。目前校園內(nèi)部共有四家便利店,主要分布在宿舍樓附近,距離教學(xué)樓較遠(yuǎn)。其次南門與北門附近各有幾家小型超市,但店內(nèi)環(huán)境較差而且距離學(xué)校有一定距離,選擇在這些超市固定消費(fèi)的學(xué)生微乎其微。
(2)宏觀環(huán)境分析
人口環(huán)境:一般大學(xué)人數(shù)均在兩萬人以上,消費(fèi)群體龐大,自動售賣機(jī)的市場容量大。
技術(shù)環(huán)境:目前市場上還沒有推出校園卡與自動售賣機(jī)相結(jié)合的技術(shù),但是預(yù)計技術(shù)開發(fā)的難度不大,一旦研發(fā)成功也能在技術(shù)上占取一定的優(yōu)勢。
(3)SWOT分析
優(yōu)勢Strength:1.相比于現(xiàn)金消費(fèi),學(xué)生更傾向于使用校園卡付款
2.各宿舍樓及教學(xué)樓的自動售賣機(jī)由于購買方便省時目前廣受學(xué)生歡迎
3.可以24小時提供服務(wù)
4.校園便利店數(shù)量較少,分布不均勻且價格不統(tǒng)一
劣勢Weakness:1.提供商品的種類和數(shù)量有限
2.消費(fèi)量上升后,不能及時供應(yīng)貨源
3.前期投資成本高,商品的價格會因此而略高于實(shí)體店 4.人多時,購買需要排隊等候 機(jī)會Opportunity:一旦投入市場,市場容量大。高校市場普及之后,可以進(jìn)一步
向封閉式全日制中小學(xué)校推廣,有較為廣闊的市場前景。威脅
Threat:校園內(nèi)的便利店可能會因此而降低價格以吸引學(xué)生店內(nèi)消費(fèi)
二、STP分析
市場細(xì)分:自動售賣機(jī)的消費(fèi)群體主要為教職工和學(xué)生兩類。少數(shù)教職工會在自動售賣機(jī)上購買飲料和食品,他們更傾向于飲用熱水或是礦泉水。因此校園卡自動售賣機(jī)的主要市場是在校學(xué)生,次要市場為教職工?,F(xiàn)有的飲料自動售賣機(jī)上沒有礦泉水,為進(jìn)一步獲取教職工市場,可以選擇在自動售賣機(jī)上出售檔次略高的礦泉水(出于成本考慮)。
目標(biāo)市場:在校學(xué)生
市場定位:“隨時隨地一卡刷超市”。顧客可以在自己所處的宿舍樓或教學(xué)樓內(nèi)購買飲料和食品,足不出戶,滿足自身所需。既節(jié)省時間和體力成本增加顧客讓渡價值,又不用找零或擔(dān)心未帶現(xiàn)金而無法滿足需要。
三、營銷策略
(一)產(chǎn)品策略: 1.品牌策略
打造校園卡自動售賣機(jī)品牌,利用統(tǒng)一品牌的影響力在全國各所高校進(jìn)行營銷推廣。后期不斷有新型售賣機(jī)推出以后可以采用品牌擴(kuò)展策略,以xx一代,xx二代??命名,始終保持品牌的影響力和生命力。2.包裝策略
由于校園卡自動售賣機(jī)主要面向高校,為迎合高校的特點(diǎn),彰顯校園文化和青春活力,可以在傳統(tǒng)自動售賣機(jī)的外觀上加以改觀,采用更新穎別致的外形,符合當(dāng)前流行趨勢和人性化的設(shè)計。同時,結(jié)合每所學(xué)校自身文化特色,在外觀上印上學(xué)校的logo或是標(biāo)志性圖案。
3.新產(chǎn)品開發(fā)策略
隨著技術(shù)的成熟,后期可以開發(fā)功能更為豐富的自動售賣機(jī)如現(xiàn)金與校園卡結(jié)合式自動售賣機(jī)。既可以使用現(xiàn)金又可以使用校園卡的付款方式,讓沒有零錢或是忘記攜帶校園卡的同學(xué)們都可以在自動售賣機(jī)上購買商品。
(二)價格策略:
自動售賣機(jī)的前期投資成本較大,因而現(xiàn)有的自動售賣機(jī)中的商品價格一般略高于實(shí)體店但基本控制在一元以內(nèi),在多數(shù)消費(fèi)者愿意接受的心理價格范圍之內(nèi)。校園卡自動售賣機(jī)可以在實(shí)體店價格的基礎(chǔ)上進(jìn)行合理提價,通過后期的一些促銷策略來保證機(jī)內(nèi)商品的銷售量從而實(shí)現(xiàn)盈利。
(三)渠道策略:校園內(nèi)部直銷
(四)促銷策略:
1.游戲抽獎?,F(xiàn)有自動售賣機(jī),每次消費(fèi)后會享有一次抽獎游戲的機(jī)會,設(shè)有一定的中獎比例,消費(fèi)者普遍樂于參與。
2.特價日。每周可設(shè)一天特價日,部分商品價格略低于平時的正常價格 3.返利。累計消費(fèi)滿一定數(shù)額后返回部分金額到校園卡中
四、市場調(diào)研
1.在校學(xué)生使用自動售貨機(jī)的頻率
2.傾向于校園卡消費(fèi)學(xué)生所占大致比例,估算市場容量 3.學(xué)生更愿意在自動售賣機(jī)上購買的商品種類以及品牌 4.學(xué)生能接受的超出便利店價格的心理預(yù)期范圍
5.對自動售賣機(jī)的功能需求以及現(xiàn)有自動售賣機(jī)的待改進(jìn)之處
第五篇:EDA實(shí)習(xí)報告
EDA實(shí)驗(yàn)報告
系別:
班級:
姓名:
學(xué)號:
目錄
1.EDA介紹
2.Quartus II軟件介紹
3.實(shí)習(xí)任務(wù)
4.封裝引腳圖
5.設(shè)計程序
6.結(jié)果顯示
7.實(shí)習(xí)心得
1.EDA介紹
EDA是電子設(shè)計自動化(Electronic Design Automation)縮寫。EDA技術(shù)是以計算機(jī)為工具,根據(jù)硬件描述語言HDL(Hardware Description language)完成的設(shè)計文件,自動地完成邏輯編譯、化簡、分割、綜合及優(yōu)化、布局布線、仿真以及對于特定目標(biāo)芯片的適配編譯和編程下載等工作。硬件描述語言HDL是相對于一般的計算機(jī)軟件語言,如:C、PASCAL而言的。HDL語言使用與設(shè)計硬件電子系統(tǒng)的計算機(jī)語言,它能描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接方式。設(shè)計者可利用HDL程序來描述所希望的電路系統(tǒng),規(guī)定器件結(jié)構(gòu)特征和電路的行為方式;然后利用綜合器和適配器將此程序編程能控制FPGA和CPLD內(nèi)部結(jié)構(gòu),并實(shí)現(xiàn)相應(yīng)邏輯功能的的門級或更底層的結(jié)構(gòu)網(wǎng)表文件或下載文件。目前,就FPGA/CPLD開發(fā)來說,比較常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL[1]。
幾乎所有適于大學(xué)生做的數(shù)字邏輯電路實(shí)驗(yàn)都可以在計算機(jī)上利用EDA(Electronic Design Automatic—電子設(shè)計自動化)軟件進(jìn)行設(shè)計、仿真,只有極少量外部配件不能在計算機(jī)上進(jìn)行仿真。因此,在實(shí)驗(yàn)前期階段,即實(shí)驗(yàn)預(yù)習(xí)階段的主要應(yīng)用工具是EDA軟件,利用EDA軟件可以設(shè)計、仿真實(shí)驗(yàn)課題,進(jìn)行虛擬實(shí)驗(yàn)。通過虛擬實(shí)驗(yàn)使實(shí)驗(yàn)者在進(jìn)入真實(shí)實(shí)驗(yàn)前就能對預(yù)做的實(shí)驗(yàn)有相當(dāng)?shù)牧私?,甚至可以預(yù)測到實(shí)驗(yàn)的結(jié)果。這樣在實(shí)際做實(shí)驗(yàn)時,可以把許多設(shè)計型實(shí)驗(yàn)的難度降低,同時能有更多的時間讓實(shí)驗(yàn)者動手做實(shí)驗(yàn),研究問題,提高實(shí)驗(yàn)效率。當(dāng)前數(shù)字電路設(shè)計已由計算機(jī)輔助設(shè)計進(jìn)入到以計算機(jī)為主的設(shè)計時代。
2.Quartus II 是Altera公司的綜合性PLD開發(fā)軟件,支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設(shè)計輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計輸入到硬件配置的完整PLD設(shè)計流程。
Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設(shè)計的復(fù)雜性、加快了設(shè)計速度。對第三方EDA工具的良好支持也使用戶可以在設(shè)計流程的各個階段使用熟悉的第三放EDA工具。
此外,Quartus II 通過和DSP Builder工具與Matlab/Simulink相結(jié)合,可以方便地實(shí)現(xiàn)各種DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)(SOPC)開發(fā),集系統(tǒng)級設(shè)計、嵌入式軟件開發(fā)、可編程邏輯設(shè)計于一體,是一種綜合性的開發(fā)平臺。
Maxplus II 作為Altera的上一代PLD設(shè)計軟件,由于其出色的易用性而得到了廣泛的應(yīng)用。目前Altera已經(jīng)停止了對Maxplus II 的更新支持,Quartus II 與之相比不僅僅是支持器件類型的豐富和圖形界面的改變。Altera在Quartus II 中包含了許多諸如SignalTap II、Chip Editor和RTL Viewer的設(shè)計輔助工具,集成了SOPC和HardCopy設(shè)計流程,并且繼承了Maxplus II 友好的圖形界面及簡便的使用方法。
3.1 設(shè)計信號發(fā)生器使其能在儀器上顯示正弦、三角、方波、鋸齒波(其中的兩種波形)
2設(shè)計頻率計使其能測出制定波形的頻率
4.波形發(fā)生器封裝引腳圖
5.波形發(fā)生器程序(正弦波,方波)
Boxing4
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY boxing4 IS PORT(RIN:in std_logic;
clk: IN std_logic;SINT:in std_logic_VECTOR(1 downto 0);--set:in std_logic;--_vector;--(1 downto 0);rs,rw,en,lcdon,lcdbon : OUT STD_LOGIC;YOUT:out std_logic_vector(7 downto 0);data : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END boxing4;ARCHITECTURE fwm OF boxing4 IS
TYPE states IS(clear_display,set_initial,set_cgram,write_cgram,set_addram1,diaplay_cgram,set_addram2,diaplay_cgrom);--clear_display-清屏;--set_initial-初始化設(shè)置;--set_cgram-設(shè)置 cgram 地址;--write_cgram-字模寫入 cgram;--set_addram1-設(shè)置顯示 cgram 字符的 addram 地址;--diaplay_cgram-顯示 cgram 字符;--set_addram2-設(shè)置顯示 cgrom 字符的 addram 地址;--diaplay_cgrom-顯示 cgrom 字符 SIGNAL state:states;TYPE ram_array0 IS ARRAY(0 TO 7)OF STD_LOGIC_VECTOR(7 DOWNTO 0);--定義 ram_array0 為二維數(shù)組
TYPE ram_array1 IS ARRAY(0 TO 7)OF ram_array0;--定義 ram_array1 為三維數(shù)組 SIGNAL lcd_clk : STD_LOGIC;SIGNAL data1,data2,data3:INTEGER RANGE 0 TO 9;--signal n:std_logic;SIGNAL net1,net2:std_logic_vector(7 downto 0);--signal net3:std_logic;
COMPONENT choice4_1
PORT(s:in std_logic_vector(1 downto 0);
d1,d2: in std_logic_vector(7 downto 0);
y: out std_logic_vector(7 downto 0));END COMPONENT;COMPONENT fangbo PORT(clkf,rf:in std_logic;
qf:out std_logic_vector(7 downto 0));END COMPONENT;COMPONENT sin PORT(clksin,rsin:in std_logic;
da:out std_logic_vector(7 downto 0));END COMPONENT;BEGIN u1: fangbo PORT MAP(clkf=>CLK,rf=>RIN,qf=>net1);u2: sin PORT MAP(clksin=>CLK,rsin=>RIN,da=>net2);u3: choice4_1 PORT MAP(s=>SINT,d1=>net1,d2=>net2,y=>YOUT);en <=lcd_clk;rw<= '0';lcdon<='1';lcdbon<='1';data1<=1;data2<=2;data3<=5;
PROCESS(clk)
CONSTANT m : INTEGER:=50000;--50M 分頻到 1kHz。
VARIABLE cout : INTEGER RANGE 0 TO 50000000:=0;BEGIN
IF clk'EVENT AND clk='0' THEN cout:=cout+1;
IF cout<=m/2 THEN lcd_clk<='1';
ELSIF cout ELSE cout:=0; END IF; END IF; END PROCESS; PROCESS(lcd_clk)CONSTANT cgram : ram_array1:=(--自定義 8 個 5*8 字符的字模(X“00”,X“0E”,X“00”,X“0E”,X“00”,X“1F”,X“00”,X“00”),--SAN(X“04”,X“1F”,X“04”,X“07”,X“05”,X“09”,X“13”,X“01”),--FANG(X“00”,X“1f”,X“04”,X“04”,X“17”,X“14”,X“14”,X“1f”),--ZHENG(X“0E”,X“02”,X“0E”,X“08”,X“08”,X“0e”,X“02”,X“0e”),--ZUO XIAN(X“04”,X“1E”,X“04”,X“08”,X“1e”,X“04”,X“09”,X“1f”),--YOU XIAN(X“00”,X“13”,X“0A”,X“03”,X“1a”,X“02”,X“0a”,X“13”),--ZUO BO(X“08”,X“1F”,X“09”,X“1E”,X“14”,X“08”,X“14”,X“03”),--YOU BO(X“18”,X“18”,X“07”,X“08”,X“08”,X“08”,X“07”,X“00”)--℃字符數(shù)據(jù)存儲器); VARIABLE datacnt:INTEGER RANGE 0 TO 15; VARIABLE cnt: STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE num:INTEGER RANGE 0 TO 7;BEGIN--wait until net3='0';RISING_EDGE(lcd_clk); IF RISING_EDGE(lcd_clk)THEN --if sint=“00”then case sint is when “11”=> CASE state IS WHEN clear_display=> rs<='0';data<=“00000001”;state<=set_Initial;--清屏 WHEN set_initial=> IF datacnt<3 THEN rs<='0';data<=“00111000”;datacnt:=datacnt+1;--設(shè)置功能:8 位,兩行,5×8/每字符,重復(fù) 3 次 ELSIF datacnt=3 THEN rs<='0';data<=“00000110”;datacnt:=datacnt+1;--顯示模式:完成一個字符碼傳送后,AC 自動加 1;顯 ELSIF datacnt=4 THEN rs<='0';data<=“00001100”;datacnt:=datacnt+1;--顯示控制:顯示開,光標(biāo)關(guān),光標(biāo)不閃爍 ELSE rs<='0';datacnt:=0;state <= set_cgram; END IF; WHEN set_cgram=>rs<='0';--設(shè)置 CGRAM 字符地址 data<=“01000000”+cnt;state<=write_cgram;--設(shè)置 CGRAM 字符地址 000 IF num <8 THEN cnt:=cnt+“00001000”;--設(shè)置 CGRAM 字符地址加 1 END IF; WHEN write_cgram=> rs<='1';--在 指 定 的--CGRAM 字符地址寫入對應(yīng)的 8 個 5*8 字符字模 data <= cgram(num)(datacnt);datacnt:=datacnt+1;state <= write_cgram;--寫入 CGRAM 字符地址 000 的 5*8--字符字模 IF datacnt=8 THEN num:=num+1;datacnt:=0;state <= set_cgram;--寫入下一個自定義--的 5*8 字符字模 IF num =7 THEN num:=0;cnt:=“00000000”;state<=set_addram1;--8 個 5*8 字符字模寫--入完成 END IF; END IF; WHEN set_addram1=>rs<='0';--設(shè)置 ADDRAM 地址 1 data<=“10000000”;state<=diaplay_cgram; WHEN diaplay_cgram=>rs<='1';--顯示 ADDRAM 地址對應(yīng)的 CGRAM 字符 --if sint=“00”then IF datacnt=0 THEN data<=X“02”;datacnt:=datacnt+1; ELSIF datacnt=1 THEN data<=X“03”;datacnt:=datacnt+1; ELSIF datacnt=2 THEN data <= X“04”;datacnt:=datacnt+1; ELSIF datacnt=3 THEN data<=X“05”;datacnt:=datacnt+1; ELSIF datacnt=4 THEN data<=X“06”;datacnt:=datacnt+1; ELSIF datacnt=5 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=6 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=7 THEN data<=X“46”;datacnt:=datacnt+1; ELSIF datacnt=8 THEN data<=X“3a”;datacnt:=datacnt+1; ELSIF datacnt=9 THEN data<=X“31”;datacnt:=datacnt+1; ELSIF datacnt=10 THEN data<=X“30”;datacnt:=datacnt+1; ELSIF datacnt=11 THEN data<=X“4d”;datacnt:=datacnt+1; ELSIF datacnt=12 THEN data<=X“48”;datacnt:=datacnt+1; ELSIF datacnt=13 THEN data<=CONV_STD_LOGIC_VECTOR(data3+48,8);datacnt:=datacnt+1;--顯示 cgrom 中指定的 ELSIF datacnt=14 THEN data<=X“5a”;datacnt:=datacnt+1; ELSE data<=X“20”;datacnt:=0;state <= set_addram2; END IF; WHEN set_addram2=>rs<='0';--設(shè)置 ADDRAM 地址 2 data<=“11000000”;state<=diaplay_cgrom; WHEN diaplay_cgrom=>rs<='1'; IF datacnt=0 THEN data<=X“4A”;datacnt:=datacnt+1; ELSIF datacnt=1 THEN data<=X“41”;datacnt:=datacnt+1; ELSIF datacnt=2 THEN data<=X“43”;datacnt:=datacnt+1; ELSIF datacnt=3 THEN data<=X“4B”;datacnt:=datacnt+1; ELSIF datacnt=4 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=5 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=6 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=7 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=8 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=9 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=10 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=11 THEN data<=CONV_STD_LOGIC_VECTOR(data3+48,8);datacnt:=datacnt+1; ELSIF datacnt=12 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=13 THEN data<=CONV_STD_LOGIC_VECTOR(data2+48,8);datacnt:=datacnt+1; ELSIF datacnt=14 THEN data<=CONV_STD_LOGIC_VECTOR(data1+48,8);datacnt:=datacnt+1; ELSE data<=X“56”;datacnt:=0;state <= set_addram1; END IF; END CASE; --end if; when “01”=> --elsif sint =“01”then CASE state IS WHEN clear_display=> rs<='0';data<=“00000001”;state<=set_Initial;--清屏 WHEN set_initial=> IF datacnt<3 THEN rs<='0';data<=“00111000”;datacnt:=datacnt+1;--設(shè)置功能:8 位,兩行,5×8/每字符,重復(fù) 3 次 ELSIF datacnt=3 THEN rs<='0';data<=“00000110”;datacnt:=datacnt+1;--顯示模式:完成一個字符碼傳送后,AC 自動加 1;顯 ELSIF datacnt=4 THEN rs<='0';data<=“00001100”;datacnt:=datacnt+1;--顯示控制:顯示開,光標(biāo)關(guān),光標(biāo)不閃爍 ELSE rs<='0';datacnt:=0;state <= set_cgram; END IF; WHEN set_cgram=>rs<='0';--設(shè)置 CGRAM 字符地址 data<=“01000000”+cnt;state<=write_cgram;--設(shè)置 CGRAM 字符地址 000 IF num <8 THEN cnt:=cnt+“00001000”;--設(shè)置 CGRAM 字符地址加 1 END IF; WHEN write_cgram=> rs<='1';--在 指 定 的--CGRAM 字符地址寫入對應(yīng)的 8 個 5*8 字符字模 data <= cgram(num)(datacnt);datacnt:=datacnt+1;state <= write_cgram;--寫入 CGRAM 字符地址 000 的 5*8--字符字模 IF datacnt=8 THEN num:=num+1;datacnt:=0;state <= set_cgram;--寫入下一個自定義--的 5*8 字符字模 IF num =7 THEN num:=0;cnt:=“00000000”;state<=set_addram1;--8 個 5*8 字符字模寫--入完成 END IF; END IF; WHEN set_addram1=>rs<='0';--設(shè)置 ADDRAM 地址 1 data<=“10000000”;state<=diaplay_cgram; WHEN diaplay_cgram=>rs<='1';--顯示 ADDRAM 地址對應(yīng)的 CGRAM 字符 --if sint=“00”then IF datacnt=0 THEN data<=X“01”;datacnt:=datacnt+1; ELSIF datacnt=1 THEN data<=X“05”;datacnt:=datacnt+1; ELSIF datacnt=2 THEN data <= X“06”;datacnt:=datacnt+1; ELSIF datacnt=3 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=4 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=5 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=6 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=7 THEN data<=X“46”;datacnt:=datacnt+1; ELSIF datacnt=8 THEN data<=X“3a”;datacnt:=datacnt+1; ELSIF datacnt=9 THEN data<=X“31”;datacnt:=datacnt+1; ELSIF datacnt=10 THEN data<=X“30”;datacnt:=datacnt+1; ELSIF datacnt=11 THEN data<=X“4d”;datacnt:=datacnt+1; ELSIF datacnt=12 THEN data<=X“48”;datacnt:=datacnt+1; ELSIF datacnt=13 THEN data<=CONV_STD_LOGIC_VECTOR(data3+48,8);datacnt:=datacnt+1;--顯示 cgrom 中指定的 ELSIF datacnt=14 THEN data<=X“5a”;datacnt:=datacnt+1; ELSE data<=X“20”;datacnt:=0;state <= set_addram2; END IF; WHEN set_addram2=>rs<='0';--設(shè)置 ADDRAM 地址 2 data<=“11000000”;state<=diaplay_cgrom; WHEN diaplay_cgrom=>rs<='1'; IF datacnt=0 THEN data<=X“4A”;datacnt:=datacnt+1; ELSIF datacnt=1 THEN data<=X“41”;datacnt:=datacnt+1; ELSIF datacnt=2 THEN data<=X“43”;datacnt:=datacnt+1; ELSIF datacnt=3 THEN data<=X“4B”;datacnt:=datacnt+1; ELSIF datacnt=4 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=5 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=6 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=7 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=8 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=9 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=10 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=11 THEN data<=CONV_STD_LOGIC_VECTOR(data3+48,8);datacnt:=datacnt+1; ELSIF datacnt=12 THEN data<=X“20”;datacnt:=datacnt+1; ELSIF datacnt=13 THEN data<=CONV_STD_LOGIC_VECTOR(data2+48,8);datacnt:=datacnt+1; ELSIF datacnt=14 THEN data<=CONV_STD_LOGIC_VECTOR(data1+48,8);datacnt:=datacnt+1; ELSE data<=X“56”;datacnt:=0;state <= set_addram1; END IF; END CASE; --end if; when others =>null; end case; END IF;end process;END fwm; Choice4-1 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; --程序包 entity choice4_1 is --定義實(shí)體 port(s:in std_logic_vector(1 downto 0); --信號選擇端口s d1,d2: in std_logic_vector(7 downto 0);--d1d2d3d4分別連接四個波形發(fā)生模塊 y: out std_logic_vector(7 downto 0));--定義輸出信號端口 end choice4_1;architecture behav of choice4_1 is --結(jié)構(gòu)體 begin process(s) begin case s is --case when語句進(jìn)行信號位的選擇 when “01”=>y<=d1; when “11”=>y<=d2; when others=>null; end case;end process; --進(jìn)程結(jié)束 end behav; --結(jié)構(gòu)體結(jié)束 fangbo library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fangbo is port(clkf,rf:in std_logic;qf:out std_logic_vector(7 downto 0));end entity;architecture behav of fangbo is signal a:bit;begin process(clkf,rf)--計數(shù)分頻 variable cnt:integer range 0 to 256;begin if(rf='0')then a<='0';elsif clkf'event and clkf='1' then if cnt<255 then--進(jìn)行分頻 cnt:=cnt+1;else cnt:=0;a<=not a;end if;end if;end process;process(clkf,a)--信號輸出 begin if clkf'event and clkf='1' then if a='1' then qf<=“11111111”;else qf<=“00000000”;end if;end if;end process;end behav; sin library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sin is port(clksin,rsin:in std_logic;da:out BIT_vector(7 downto 0));end entity;architecture behav of sin is signal a:bit;begin process(clksin,rsin)--計數(shù)分頻 variable cnt:integer range 0 to 256;begin if(rsin='0')then a<='0';elsif clksin'event and clksin='1' then if cnt<4 then--進(jìn)行分頻 cnt:=cnt+1;else cnt:=0;a<=not a;end if;end if;end process;--process(clksin,a)process(a) variable tmp:std_logic_vector(7 downto 0);variable d:BIT_vector(7 downto 0);begin--if a='0' then--d:=“00000000”;--elsif clksin'event and clksin='1' then if a'event and a='1' then if tmp=“00111111” then tmp:=“00000000”;else tmp:=tmp+1;end if;case tmp is when “00000000”=>d:=“11111111”;when “00000001”=>d:=“11111110”;when “00000010”=>d:=“11111100”;when “00000011”=>d:=“11111001”;when “00000100”=>d:=“11110101”;when “00000101”=>d:=“11101111”;when “00000110”=>d:=“11101001”;when “00000111”=>d:=“11100001”;when “00001000”=>d:=“11011001”;when “00001001”=>d:=“11001111”;when “00001010”=>d:=“11000101”;when “00001011”=>d:=“10111010”;when “00001100”=>d:=“10101110”;when “00001101”=>d:=“10100010”;when “00001110”=>d:=“10010110”;when “00001111”=>d:=“10001010”;when “00010000”=>d:=“01111100”;when “00010001”=>d:=“01100000”;when “00010010”=>d:=“01100011”;when “00010011”=>d:=“01010111”;when “00010100”=>d:=“01001011”;when “00010101”=>d:=“01000000”;when “00010110”=>d:=“00110101”;when “00010111”=>d:=“00101011”;when “00011000”=>d:=“00100010”;when “00011001”=>d:=“00011010”;when “00011010”=>d:=“00010011”;when “00011011”=>d:=“00001101”;when “00011100”=>d:=“00001000”;when “00011101”=>d:=“00000001”;when “00011110”=>d:=“00000001”;when “00011111”=>d:=“00000000”;when “00100000”=>d:=“00000000”;when “00100001”=>d:=“00000001”;when “00100010”=>d:=“00000001”;when “00100011”=>d:=“00001000”;when “00100100”=>d:=“00001101”;when “00100101”=>d:=“00010011”;when “00100110”=>d:=“00011010”;when “00100111”=>d:=“00100010”;when “00101000”=>d:=“00101011”;when “00101001”=>d:=“00110101”;when “00101010”=>d:=“01000000”;when “00101011”=>d:=“01001011”;when “00101100”=>d:=“01010111”;when “00101101”=>d:=“01100011”;when “00101110”=>d:=“01100000”;when “00101111”=>d:=“01111100”;when “00110000”=>d:=“10001001”;when “00110001”=>d:=“10010110”;when “00110010”=>d:=“10100010”;when “00110011”=>d:=“10101110”;when “00110100”=>d:=“10111010”;when “00110101”=>d:=“11000101”;when “00110110”=>d:=“11011001”;when “00110111”=>d:=“11011001”;when “00111000”=>d:=“11100001”;when “00111001”=>d:=“11101001”;when “00111010”=>d:=“11101111”;when “00111011”=>d:=“11110101”;when “00111100”=>d:=“11111001”;when “00111101”=>d:=“11111100”;when “00111110”=>d:=“11111110”;when “00111111”=>d:=“11111111”;when others=>null;end case;end if;da<=d SRL 1;--幅度調(diào)整 end process;end behav; 頻率計 1、頻率產(chǎn)生器: library ieee;use ieee.std_logic_1164.all;library lpm;use lpm.lpm_components.all;entity lpm_counter0 is port(clock: in std_logic;q: out std_logic_vector(26 downto 0));end lpm_counter0;architecture syn of lpm_counter0 is signal sub_wire0 : std_logic_vector(26 downto 0);component lpm_counter generic(lpm_direction : string; lpm_port_updown : string;lpm_type : string;lpm_width : natural);port(clock : in std_logic; q : out std_logic_vector(26 downto 0));end component;begin q <= sub_wire0(26 downto 0);lpm_counter_component : lpm_counter generic map(lpm_direction => “up”,lpm_port_updown => “port_unused”,lpm_type => “l(fā)pm_counter”,lpm_width => 27)port map(clock => clock,q => sub_wire0);end syn; 2、測頻控制信號發(fā)生器 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity testpl is port(clk:in std_logic;--1Hz信號 tsten:out std_logic;--計數(shù)器使能信號 clr_cnt:out std_logic;--計數(shù)器清零信號 load:out std_logic);--鎖存器輸出控制信號 end testpl;architecture art of testpl is signal div2clk:std_logic;begin process(clk)begin if clk'event and clk='1'then div2clk<=not div2clk;--div2clk為0.5Hz end if;end process;process(clk ,div2clk)begin if(clk='0'and div2clk='0')then clr_cnt<='1';--當(dāng)div2clk與clk同時為零時計數(shù)器清零 else clr_cnt<='0';--當(dāng)div2clk處于的高電平時計數(shù)器計數(shù) end if;end process;load<=not div2clk;--鎖存器輸出與計數(shù)器使能信號反相 tsten<=div2clk;end art; 3、有時鐘使能的十進(jìn)制計數(shù)器 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt10 is port(clk,clr,en: in std_logic;--clk:計數(shù)器時鐘,clr:清零信號,en:計數(shù)使能信號 q: out std_logic_vector(3 downto 0);--q:4位計數(shù)結(jié)果輸出 c10: out std_logic);--計數(shù)進(jìn)位 end cnt10;architecture art of cnt10 is signal cqi: std_logic_vector(3 downto 0);begin process(clk,clr)begin if clr='1' then cqi<=“0000”;--當(dāng)輸入的clr_cnt為低電平1時清零 elsif clk'event and clk='1' then if en='1' then--當(dāng)輸入的tesen為高電平1時允許計數(shù) if(cqi<9)then cqi<=cqi+1;else cqi<=“0000”;--等于9則計數(shù)器清零 end if;--當(dāng)輸入的tesen為低電平0時禁止計數(shù),鎖定計數(shù)值 end if;end if;end process;--產(chǎn)生進(jìn)位 process(cqi)begin if cqi=“1001” then c10<='1';--當(dāng)加的9時產(chǎn)生進(jìn)位輸出 else c10<='0';end if;end process;q<=cqi;end art;4、16位鎖存器 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity reg16b is port(load: in std_logic;--輸出鎖存控制信號 din: in std_logic_vector(15 downto 0);dout: out std_logic_vector(15 downto 0));end reg16b;architecture art of reg16b is begin process(load,din)begin if load'event and load='1'then--load為高電平時teten為低電平,計數(shù)器禁止 dout<=din;--鎖存輸入的數(shù)據(jù) end if;end process;end art; 5、數(shù)碼管控制器 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ledcom is port(clk:in std_logic;--數(shù)碼管掃描頻率 datain: in std_logic_vector(15 downto 0);--鎖存器輸入的16位信號 dataout: out std_logic_vector(3 downto 0);-輸出至譯碼器的4位信號 com: out std_logic_vector(3 downto 0));--輸出數(shù)碼管選擇信號 end ledcom;architecture art of ledcom is signal comclk: std_logic_vector(1 downto 0);begin--comclk同掃描頻率clk循環(huán)變化 process(clk)begin if rising_edge(clk)then if comclk>=3 then comclk <=“00”; else comclk<=comclk+1;end if;end if;end process;--數(shù)碼管選擇 process(comclk)begin case comclk is when “00” => com<=“1000”;when “01” => com<=“0100”;when “10” => com<=“0010”;when “11” => com<=“0001”;when others =>NULL;end case;end process;--對應(yīng)數(shù)碼管的輸出 process(comclk,datain)begin case comclk is when “11”=> dataout<=datain(3 downto 0);when “10”=> dataout<=datain(7 downto 4);when “01”=> dataout<=datain(11 downto 8);when “00”=> dataout<=datain(15 downto 12);when others =>NULL;end case;end process;end art; 6、七段數(shù)碼管的譯碼器 library IEEE;use IEEE.std_logic_1164.all;entity ymq is port(d_in: in std_logic_vector(3 downto 0);--數(shù)碼管控制器輸入四位信號 d_out: out std_logic_vector(7 downto 0));--輸出8位信號 end ymq;--第8位d_out[7]為逗號 architecture art of ymq is begin process(d_in)begin case d_in is--第8位為1高電平逗號不顯示 when “0000” => d_out<=“11000000”;--0 when “0001” => d_out<=“11111001”;--1 when “0010” => d_out<=“10100100”;--2 when “0011” => d_out<=“10110000”;--3 when “0100” => d_out<=“10011001”;--4 when “0101” => d_out<=“10010010”;--5 when “0110” => d_out<=“10000010”;--6 when “0111” => d_out<=“11111000”;--7 when “1000” => d_out<=“10000000”;--8 when “1001” => d_out<=“10010000”;--9 when others =>NULL;end case;end process;end art; 7、元件聲明及例化將各個元器件依據(jù)設(shè)計相連 library ieee;use ieee.std_logic_1164.all;entity lx is port(clk: in std_logic;led: out std_logic_vector(7 downto 0);ledc: out std_logic_vector(3 downto 0));end lx;architecture art of lx is component lpm_counter0--待調(diào)用的頻率生成器端口定義 PORT(clock: IN STD_LOGIC;q: OUT STD_LOGIC_VECTOR(26 DOWNTO 0));end component;--十進(jìn)制計數(shù)器 component cnt10--待調(diào)用的有時鐘使能的十進(jìn)制計數(shù)器端口定義 port(clk,clr,en: in std_logic;q: out std_logic_vector(3 downto 0);c10: out std_logic);end component;--16位鎖存器 component reg16b--待調(diào)用的32位鎖存器端口定義 port(load: in std_logic;din: in std_logic_vector(15 downto 0);dout: out std_logic_vector(15 downto 0));end component;--測頻控制器 component testpl--待調(diào)用的測頻控制信號發(fā)生器端口定義 port(clk:in std_logic;tsten:out std_logic;clr_cnt:out std_logic;load:out std_logic);end component;--數(shù)碼管選擇器 component ledcom--待調(diào)用的數(shù)碼管選擇器端口定義 port(clk:in std_logic;datain: in std_logic_vector(15 downto 0);dataout: out std_logic_vector(3 downto 0);com: out std_logic_vector(3 downto 0));end component;--譯碼器 component ymq--待調(diào)用的譯碼器端口定義 port(d_in: in std_logic_vector(3 downto 0);d_out: out std_logic_vector(7 downto 0));end component;signal clk1,clk2,clk3: std_logic;--clk1為1Hz信號,clk2為被測信號,clk3為數(shù)碼管掃描信號 signal tsten,clr,load: std_logic;signal c1,c2,c3,c4: std_logic;signal qout,rout: std_logic_vector(15 downto 0);signal datao: std_logic_vector(3 downto 0);begin u0:testpl port map(clk1,tsten,clr,load);u1:cnt10 port map(clk2,clr,tsten,qout(3 downto 0),c1);u2:cnt10 port map(c1,clr,tsten,qout(7 downto 4),c2);u3:cnt10 port map(c2,clr,tsten,qout(11 downto 8),c3);u4:cnt10 port map(c3,clr,tsten,qout(15 downto 12),c4);u5:reg16b port map(load,qout(15 downto 0),rout);u6:ledcom port map(clk3,rout,datao,ledc);u8:ymq port map(datao,led);u9:lpm_counter0 port map(clk,q(25)=>clk1,q(15)=>clk2,q(17)=>clk3);end art; 6.結(jié)果顯示 7.實(shí)習(xí)心得