欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      簡易數(shù)字跑表設計5篇

      時間:2019-05-13 00:44:30下載本文作者:會員上傳
      簡介:寫寫幫文庫小編為你整理了多篇相關(guān)的《簡易數(shù)字跑表設計》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《簡易數(shù)字跑表設計》。

      第一篇:簡易數(shù)字跑表設計

      西安郵電大學

      數(shù)字控制系統(tǒng)課程設計報告書

      院(系)名稱 : 學生姓名 : 專業(yè)名稱 : 班

      級 : 時

      自 動 化 學 院

      自動化 自動1103 間 : 2014年9月1日 至2014年9月12日

      乘法器設計

      一、設計要求:

      計時精度10ms,計時范圍59.99秒。

      (1)對單人計時,能實現(xiàn)暫停、顯示及清零功能,在數(shù)碼管上實時顯示,設置啟動/暫停、清零按鍵;

      (2)對多個人同時計時,在數(shù)碼管上實時顯示,并能回顯出六個時間。可控制顯示,設置清零、取時按鍵;

      (3)采用狀態(tài)機設計;

      (4)百分秒在數(shù)碼管上實時顯示,秒利用多個數(shù)碼管顯示BCD值。

      二、設計過程: 1.方案設計:

      1)畫狀態(tài)圖:

      按鍵

      狀態(tài)

      輸出

      復位:00

      開始:S0

      清 零:z=0;

      啟/停:01

      計數(shù):S1

      不清零:z=1;

      存/回顯:10

      暫停:S2

      存/回顯:S3

      2)寫程序:

      ·在一個module模塊里,有多個always塊;

      ·有分頻、計數(shù)、存儲、輸出等模塊;

      ·測試模塊的輸入輸出與源程序的輸入輸出相反; 2.系統(tǒng)仿真:

      Verilog仿真所需仿真環(huán)境:modelsim 3.管腳配置:

      1.四、軟件設計: 源程序

      module paobiao(clk,rst,pause,save,mg,md,bg,bd);//秒高,秒低,百分秒高,百分秒低

      input clk,rst,pause,save;

      output reg [3:0] mg,md,bg,bd;reg [15:0] zd,zz,zg;//中間變量 reg [17:0] count1;reg clk1;//100ms reg pause_temp,save_temp;//取上升沿 reg [13:0] count2,reg0,reg1,reg2,reg3,reg4,reg5;reg [2:0] count3;// reg [1:0] state,next_state;reg cn1;parameter S0=2'b00,S1=2'b01,S2=2'b11;//分頻

      always @(posedge clk or negedge rst)

      begin if(!rst)count1<=0;

      else if(count1==200000)count1<=0;//200000

      else count1<=count1+1;

      end

      always @(posedge clk)begin if(!rst)clk1<=0;else if(count1==99999)clk1<=~clk1;//99999 else clk1<=clk1;

      end //總計時

      always @(negedge clk1 or negedge rst)//clk1 begin if(!rst)count2<=0;

      else if(state==S1)count2<=count2+1;

      // else if(state==S2)count2<=count2;

      else count2<=count2;end

      //存儲個數(shù)計數(shù)

      always @(negedge rst or negedge save)begin if(!rst)

      count3<=0;

      else if(next_state==S1)

      count3<=count3+1;

      else if(next_state==S2)

      count3<=count3-1;

      else count3<=count3;

      end

      //毫秒低位顯示結(jié)果

      always @(negedge rst or posedge clk)begin

      if(!rst)

      bd<=0;

      else if(state==S1)bd<=count2%10;

      else if(state==S2)

      begin case(count3)

      3'b000: bd<=reg0%10;

      3'b001: bd<=reg1%10;

      3'b010: bd<=reg2%10;

      3'b011: bd<=reg3%10;

      3'b100: bd<=reg4%10;

      3'b101: bd<=reg5%10;

      default:

      bd<=reg0%10;

      endcase

      end

      else

      bd<=bd;

      end

      //毫秒高位顯示結(jié)果

      always @(negedge rst or posedge clk)begin

      if(!rst)

      bg<=0;

      else if(state==S1)

      bg<=(count2/10)%10;

      else if(state==S2)

      begin case(count3)

      3'b000: bg<=(reg0/10)%10;

      3'b001: bg<=(reg1/10)%10;

      3'b010: bg<=(reg2/10)%10;

      3'b011: bg<=(reg3/10)%10;

      3'b100: bg<=(reg4/10)%10;

      3'b101: bg<=(reg5/10)%10;

      default:

      bg<=(reg0/10)%10;

      endcase

      end

      else

      bg<=bg;

      end

      //秒位顯示結(jié)果

      always @(negedge rst or posedge clk)begin

      if(!rst)

      md<=0;

      else if(state==S1)md<=(count2/100)%10;

      else if(state==S2)

      begin

      case(count3)

      3'b000: md<=(reg0/100)%10;

      3'b001: md<=(reg1/100)%10;

      3'b010: md<=(reg2/100)%10;

      3'b011: md<=(reg3/100)%10;

      3'b100: md<=(reg4/100)%10;

      3'b101: md<=(reg5/100)%10;

      default:

      md<=(reg0/100)%10;

      endcase end

      else

      md<=md;end

      //十秒位顯示結(jié)果

      always @(negedge rst or posedge clk)

      begin

      if(!rst)

      mg<=0;

      else if(state==S1)mg<=(count2/1000)%10;

      else if(state==S2)

      begin

      case(count3)

      3'b000: mg<=(reg0/100)%10;

      3'b001: mg<=(reg1/100)%10;

      3'b010: mg<=(reg2/100)%10;

      3'b011: mg<=(reg3/100)%10;

      3'b100: mg<=(reg4/100)%10;

      3'b101: mg<=(reg5/100)%10;

      default:

      mg<=(reg0/100)%10;

      endcase

      end

      else

      mg<=mg;

      end

      always @(negedge rst or posedge clk)//定義當前狀態(tài)

      begin if(!rst)state<=S0;//異步復位,S0為初始狀態(tài)

      else

      state<=next_state;

      end

      //狀態(tài)轉(zhuǎn)移

      always @(state or pause or

      pause_temp)begin

      case(state)

      S0:begin if(pause==0&&pause_temp==1)next_state<=S1;

      else next_state<=S0;

      end

      S1:begin if(pause==0&&pause_temp==1)next_state<=S2;

      else next_state<=S1;end

      S2:begin if(pause==0&&pause_temp==1)next_state<=S1;

      else next_state<=S2;end

      default:next_state<=S0;

      endcase

      end

      //存數(shù)

      always@(negedge rst or negedge save)begin if(!rst)begin

      reg0<=0;reg1<=0;reg2<=0;reg3<=0;reg4<=0;reg5<=0;end

      else

      begin if(count3==0)reg0<=count2;

      else if(count3==1)reg1<=count2;

      else if(count3==2)reg2<=count2;

      else if(count3==3)reg3<=count2;

      else if(count3==4)reg4<=count2;

      else if(count3==5)reg5<=count2;

      else begin reg0<=0;reg1<=0;reg2<=0;

      reg3<=0;reg4<=0;reg5<=0;end

      end end

      //取啟停鍵上升沿 always @(posedge clk)begin if(!rst)pause_temp<=0;

      else

      pause_temp<=pause;

      end

      //取保存翻看上升沿

      always @(posedge clk)begin if(!rst)save_temp<=0;

      else

      save_temp<=save;end

      endmodule

      2.測試程序

      module paobiao_tp;reg clk,rst,pause,save;wire[3:0] mg,md,bg,bd;parameter DELY=10;paobiao u1(clk,rst,pause,save,mg,md,bg,bd);always #(DELY/2)

      clk=~clk;initial

      begin clk=0;pause=0;save=0;rst=0;

      #DELY rst=1;save=0;pause=0;

      #DELY pause=1;

      #DELY pause=0;

      #DELY save=1;end endmodule

      五、實驗結(jié)果 1.仿真圖像

      2.硬件電路顯示

      六、個人完成工作及心得體會

      我們組設計的是數(shù)字跑表,我負責畫狀態(tài)圖和系統(tǒng)程序和仿真。畫狀態(tài)圖時由于對狀態(tài)機理解不到位,畫的狀態(tài)圖有點問題,后來經(jīng)老師指導改正了;我負責寫仿真測試程序,這需要對源程序的輸入輸出很清晰,對其暫停、啟停、存儲、回顯的掌握;再就是系統(tǒng)仿真,先在modelsim 上仿真,出來波形后再在開發(fā)板上仿真,剛開始程序分頻、計數(shù)輸出都有問題,后來逐項排除錯誤代碼,仿真才出來。

      課設過程中,我學到了很多關(guān)于Verilog的知識,比如寫程序要注意的分頻、計數(shù)、輸入輸出等問題,仿真時要注意時間長短的選擇、進制間的轉(zhuǎn)換等等,還有最后往開發(fā)板下載程序時注意的問題等。認識比較深刻的是:一個小組,只有相互幫助、團結(jié)努力,才能很好地完成任務。

      第二篇:數(shù)字電路EDA課程設計數(shù)字跑表

      EDA課程設計報告書

      題目: 數(shù)字跑表

      姓名: 班級: 學號: 成績:

      一、設計題目及要求 設計題目:數(shù)字跑表

      要求:1 具有暫停,啟動功能。

      具有重新開始功能。用六個數(shù)碼管分別顯示百分秒,秒和分鐘。

      二、設計過程及內(nèi)容

      拿到題目后,我在圖書館進行了相關(guān)書籍的查閱,首先明確了題目中設計項目要實現(xiàn)的功能,再進一步確定實現(xiàn)其功能的組成部分和使用器件,對于本次設計的總體思路,首先是設計一個控制模塊,可以使跑表具有啟動、暫停及重新開始的功能;然后,利用一個分頻模塊即15進制計數(shù)器得到100HZ的時鐘脈沖,接入到一個100*60*60三個計數(shù)器的模塊中,完成對時間的計時工作和對選時模塊的輸出工作,使選時模塊得到對應的時間,其次將選時模塊與顯示模塊連接,使數(shù)碼管顯示選中的當前時間,從而完成了這次課程設計的設計工作,進入到實現(xiàn)過程中去。

      根據(jù)課程設計要求將設計分為5個模塊:

      1、控制模塊,使跑表具有啟動、暫停及重新開始的功能;

      2、分頻模塊,用于得到頻率為100HZ的時鐘脈沖;

      3、計時模塊,進行時間的計時,同時將當前時間輸出給選時模塊;

      4、選時模塊,從計時器得到當前時間輸出給顯示模塊;

      5、顯示模塊,進行時間的顯示??倛D如下: 第一個模塊:控制器模塊

      與門可控制時鐘信號的輸出與否,當跑表為START狀態(tài)時CLK端為高電平,QA為1,時鐘信號輸出,當跑表為STOP狀態(tài)時CLK端為低電平,QA為0,時鐘信號不輸出,從而實現(xiàn)開始和暫停的功能。REST是清零按鈕,REST接到控制模塊和總計時器模塊的清零端,當REST為高電平時,控制模塊和總計數(shù)器模塊清零,跑表重新開始工作。

      第二個模塊:分頻器模塊

      將74161接成15進制計數(shù)器,將1465HZ的時鐘頻率轉(zhuǎn)換成近似于100HZ的時鐘信號即所需的輸入時鐘信號,從而實現(xiàn)分頻功能。將得到的時鐘信號輸入到總計數(shù)器模塊中去。第三個模塊:計時模塊

      計時模塊

      本模塊由兩個60進制計數(shù)器和一個100進制計數(shù)器構(gòu)成,從而實現(xiàn)百分秒向秒、秒向分的計數(shù)功能需求。60進制計數(shù)器及100進制計數(shù)器均采用兩個74LS160,采用整體置數(shù)方式接成。從60進制計數(shù)器和100進制計數(shù)器這三個輸出端分別印出八個端口(秒、分、時的個位及十位分別由四個二進制代碼表示),將當前時間編碼傳送給選時模塊,實現(xiàn)時間的選擇和顯示。(秒個位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分個位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒個位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)

      100進制計數(shù)器

      60進制計數(shù)器

      第四個模塊:選時模塊

      本模塊由四個八選一數(shù)據(jù)選擇器74LS151和地址選擇器74LS161構(gòu)成。

      地址選擇器74LS161接入一個1465 HZ的時鐘信號,使能端和清零端接高電平,使其循環(huán)工作,產(chǎn)生一組循環(huán)地址碼A、B、C,接到數(shù)碼管的地址端,使其循環(huán)顯示數(shù)字。同時,地址選擇器74LS161產(chǎn)生的一組循環(huán)地址碼接入到四個八選一數(shù)據(jù)選擇器74LS151上,使其對地址相同的一組數(shù)據(jù)進行選擇,產(chǎn)生四個二進制數(shù)A0,A1,A2,A3,即為數(shù)碼管所要顯示的數(shù)字的編碼。

      第一個74LS151上的輸入端為秒、分、百分秒個位及十位的四位二進制的最低位(S0A,S1A, M0A, M1A, H0A, H1A), 第二個74LS151上的輸入端為秒、分、百分秒個位及十位的四位二進制的次低位(S0B,S1B,M0B,M1B,H0B,H1B), 第三個74LS151上的輸入端為秒、分、百分秒個位及十位的四位二進制的第二位(S0C,S1C,M0C,M1C,H0C,H1C), 第四個74LS151上的輸入端為秒、分、百分秒個位及十位的四位二進制的第一位(S0D,S1D,M0D,M1D,H0D,H1D),通過這四個八位二進制數(shù)比較器74LS151選出同一組數(shù)(秒個位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分個位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒個位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)作為輸出A0,A1,A2,A3,接到顯示模塊輸入端。

      選時模塊

      第五個模塊:顯示模塊

      本模塊采用BCD—七段顯示譯碼器7448對實驗板上數(shù)碼管進行驅(qū)動。由選時模塊輸出的顯示數(shù)字編碼A0,A1,A2,A3接至輸入端A,B,C,D,使輸出端產(chǎn)生七位譯碼連接到實驗箱公共數(shù)據(jù)輸入端ABCDEDG,從而進行數(shù)據(jù)的顯示。

      顯示模塊

      三、設計結(jié)論

      兩周的課程設計很快就結(jié)束了,雖然時間很短,但是收獲頗豐。通過這次課程設計,我學到了許多關(guān)于EDA的知識,認識到了EDA的強大功能,更重要的是增強了我的實踐動手能力,使我深刻地認識到僅僅學習課本上的知識是遠遠不夠的,必須要多多動手,多多實踐,才能真正理解并掌握所學的知識,達到學以致用的目的。同時我也深深地感受到嚴謹?shù)膽B(tài)度對于科學研究的重要性。由于在設計的過程中,一點點的馬虎都可能造成整個系統(tǒng)的癱瘓,所以每一個細節(jié)都要認真思考,認真操作,不能有絲 百分的大意。這使我認識到要想做一個科研工作者是多么的不易!自己身上的缺點還有很多,要靠以后艱苦的努力來克服!

      這次的EDA課程設計給了我一次非常重要也非常難得的實踐機會,使我可以將平時課本上學習的理論知識應用于實際操作。設計的過程是十分艱苦的,由于從未接觸過類似的領(lǐng)域,所以剛開始的時候一片茫然,不知道該干些什么。隨著研究的逐漸深入,自己漸漸的摸出頭緒,掌握了一些規(guī)律和方法,設計的成果也逐步成型,最終按照要求完成了設計。在實際操作的過程中,碰到了許多的困難,但最終在老師的耐心指導和同學的熱情幫助下,按時完成了任務。在此對老師和同學們表示衷心的感謝!

      最后感謝老師給與我這次寶貴的實踐機會!

      第三篇:燕山大學EDA課程設計數(shù)字跑表

      一、設計題目及要求 設計題目:數(shù)字跑表

      要求:1 具有暫停,啟動功能;

      具有重新開始功能; 用六個數(shù)碼管分別顯示百分秒,秒和分鐘。

      二、設計過程及內(nèi)容 總體設計:

      第一,對于要實現(xiàn)的暫停、啟動和重新開始功能,需要有一個控制模塊完成相關(guān)控制。第二由題意可知需要一個分頻模塊,將實驗箱提供的頻率轉(zhuǎn)換為100HZ即數(shù)字跑表百分秒的頻率。第三是計時模塊,完成跑表的百分秒、秒和分鐘的計時功能。第四由于實驗箱提供的數(shù)碼顯示是掃描顯示,這就需要一個選時模塊。第五部分則是顯示模塊。詳細設計過程:

      根據(jù)要求,將設計分成五個模塊:

      1、控制模塊:使跑表具有啟動、暫停及重新開始的功能;

      2、分頻模塊:將實驗箱所提供的頻率轉(zhuǎn)換為設計題目所需要的100HZ的時鐘脈沖;

      3、計時模塊:進行百分秒、秒、分的計時,并且將當前時間輸出給選時模塊;

      4、選時模塊:從計時器得到當前時間輸出給顯示模塊;

      5、顯示模塊:通過數(shù)碼管顯示時間。

      總圖如下:

      仿真波形:

      第一個模塊:控制模塊

      控制模塊主要運用了兩個D觸發(fā)器,輸入到觸發(fā)器的時鐘信號CLK1頻率為2.86Hz,對電路起到了防抖的功能。

      START/STOP為啟動暫停按鈕,當跑表為START狀態(tài)時CLK端為高電平,Q為1,時鐘信號輸出,當跑表為STOP狀態(tài)時CLK端為低電平,Q為0,時鐘信號不輸出,從而實現(xiàn)開始和暫停的功能。與門可控制時鐘信號是否被輸出到下一級。

      RESET端為全局清零按鈕,接到控制模塊和計時模塊的清零端,負責將計數(shù)器清零。當RESET為低電平時,控制模塊和總計數(shù)器模塊清零,跑表重新開始工作。電路圖如下:

      仿真波形:

      第二個模塊:分頻模塊

      為了將實驗箱提供的1465HZ轉(zhuǎn)換成實驗需要的100HZ,我將74161接成15進制計數(shù)器,實現(xiàn)分頻的功能,轉(zhuǎn)換為100HZ的近似時鐘信號。然后將輸出的時鐘接入到計時模塊。電路圖如下: 3

      仿真波形:

      第三個模塊:計時模塊

      計時模塊由一個100進制計數(shù)器和兩個60進制計數(shù)器構(gòu)成,從而實現(xiàn)百分秒向秒、秒向分的計數(shù)功能需求。100進制計數(shù)器和60進制計數(shù)器均采用兩個74160,100進制采用并行進位方式,60進制采用整體置數(shù)方式。從100進制計數(shù)器和60進制計數(shù)器這三個輸出端分別接出八個端口(百分秒、秒、分的個位及十位分別由四個二進制代碼表示),將當前時間代碼輸送給選時模塊,以實現(xiàn)時間的選擇和顯示。(百分秒個位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D;秒個位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分個位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D.)電路圖如下:

      仿真波形:

      100進制計數(shù)器(count100): 仿真波形:

      60進制計數(shù)器(count60):

      仿真波形:

      第四個模塊:選時模塊

      選時模塊由四個八選一數(shù)據(jù)選擇器74LS151和一個地址選擇器74LS161構(gòu)成。

      地址選擇器74LS161接入一個1465 HZ的時鐘信號,使能端和清零端接高電平,使其循環(huán)工作,產(chǎn)生的一組循環(huán)地址碼接入到四個八選一數(shù)據(jù)選擇器74LS151上,使其對地址相同的一組數(shù)據(jù)進行選擇,產(chǎn)生四個二進制數(shù)CA,CB,CC,CD,即為數(shù)碼管所要顯示的數(shù)字的編碼。同時,地址選擇器74LS161產(chǎn)生一組循環(huán)地址碼a、b、c,接到數(shù)碼管的地址端,使其循環(huán)顯示數(shù)字。

      第一個74LS151上的輸入端為百分秒、秒、分個位及十位的四位二進制的最低位(H0A, H1A ,S0A,S1A, M0A, M1A), 第二個74LS151上的輸入端為百分秒、秒、分個位及十位的四位二進制的次低位(H0B,H1B ,S0B,S1B,M0B,M1B), 第三個74LS151上的輸入端為百分秒、秒、分個位及十位的四位二進制的第二位(H0C,H1C ,S0C,S1C,M0C,M1C), 第四個74LS151上的輸入端為百分秒、秒、分個位及十位的四位二進制的第一位(H0D,H1D ,S0D,S1D,M0D,M1D),通過這四個八位二進制數(shù)比較器74LS151選出同一組數(shù)(百分秒個位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D;秒個位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分個位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D)作為輸出CA,CB,CC,CD,接到顯示模塊輸入端。電路圖如下:

      仿真波形:

      第五個模塊:顯示模塊

      顯示模塊采用BCD—七段顯示譯碼器7448對實驗板上數(shù)碼管進行驅(qū) 動。由選時模塊輸出的顯示數(shù)字編碼CA,CB,CC,CD接至輸入端A,B,C,D,使輸出端產(chǎn)生七位譯碼連接到實驗箱公共數(shù)據(jù)輸入端ABCDEDG,從而顯示出數(shù)據(jù)。電路圖如下:

      仿真波形:

      三、設計結(jié)論

      兩周的課程設計很快就結(jié)束了,雖然時間很短,但是收獲頗豐。通過這次課程設計,我學到了許多關(guān)于EDA的知識,學習到了很多EDA的實用功能,更重要的是鍛煉了我的實踐動手能力,使我深刻地認識到僅僅學習課本上的知識是遠遠不夠的,要多思考,多實踐,才能真正把學到的知識用到實際中,而且我也深刻認識到通信專業(yè)在各個領(lǐng)域是多么有用武之地,更加使我有了學習深造的動力。

      在設計的過程中遇到諸多問題,一個接一個,總結(jié)下來還是軟件沒有學深刻,出了問題也不知道如何排查,波形圖一直找不到自己想看到的,后來經(jīng)過問同學和自己的總結(jié)才知道這個仿真的時間要足夠長,才能看到自己所需要的部分。讓我知道做一件事之前的準備工作是多么重要,同時在設計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。遇到問題才去翻書查資料,這些都是我以后要改進的地方。

      這次的EDA課程設計給了我一次非常重要也非常難得的實踐機會,使我可以將平時課本上學習的理論知識應用于實際操作。設計過程多于我這個專業(yè)知識還不牢固的很困難,先前兩天看了課本學習了軟件,每個子模塊和波形圖出來的都比較順利,但當做到總圖的時候遇到了很多困難,經(jīng)過詢問老師后也都解決了。實驗箱的部分比較順利,由于粗心連錯了線,頻率也沒選對,總之過程很艱難,但最終還是做出來了。最后感謝老師給與我這次寶貴的實踐機會!

      第四篇:簡易數(shù)字存儲示波器設計

      合肥工業(yè)大學 課程設計實驗報告

      題目:簡易數(shù)字存儲示波器設計 學院: 專業(yè): 姓名: 學號: 指導老師:

      目錄

      一、概述---------------第二頁

      1.課程設計題目及要求---------------

      2.課程設計目的-----------------------

      3.背景介紹-------------------------

      二、設計方案與電路實現(xiàn)第四頁

      1.工作原理----------------------------

      2.利用Quartus軟件,編寫.v文件-------

      3.modelsim及Quartus軟件仿真,描述電路性能的波形等參數(shù)

      4.模擬仿真、結(jié)論------------------

      三、心得體會--------第九頁

      四、參考文獻--------------第九頁

      一、概述

      (1)課程設計的題目及要求

      1.課程設計的題目:簡易數(shù)字存儲示波器設計.2.課程設計的要求:

      a.利用 FPGA 實現(xiàn)數(shù)字存儲示波器.b.由 FPGA 控制 ADC 對一路模擬信號采樣, 采樣數(shù)據(jù)暫存于 RAM 中.c.由 FPGA 將 RAM 中的數(shù)據(jù)讀出, 并控制 DAC 輸出給示波器顯示.d.由一個按鍵模擬觸發(fā)信號.e.選用 GW48-PK2 系統(tǒng), 編寫程序在 FPGA 上實現(xiàn)并加以驗證.(2)課程設計目的

      1.通過本次課程設計掌握Quartusm及modelsim軟件的運用 2.掌握數(shù)字信號處理的原理方法與實現(xiàn)的過程

      3.通過本次課程設計學習解決問題的思路與方法,學習查找資料和運用所學知識解決實際問題的能力 4.學習與別人溝通和合作的能力 5.學會獨立思考

      (3)背景介紹

      數(shù)字存儲示波器有別于一般的模擬示波器,它是將采集到的模擬電壓信號轉(zhuǎn)換為數(shù)字信號,由內(nèi)部微機進行分析、處理、存儲、顯示或打印等操作。這類示波器通常具有程控和遙控能力,通過GPIB接口還可將數(shù)據(jù)傳輸?shù)接嬎銠C等外部設備進行分析處理。

      數(shù)字存儲示波器的基本原理如圖所示,其工作過程一般分為存儲和顯示兩個階段。在存儲階段,首先對被測模擬信號進行采樣和量化,經(jīng) A/D轉(zhuǎn)換器轉(zhuǎn)換成數(shù)字信號后,依次存入RAM中,當采樣頻率足夠高時,就可以實現(xiàn)信號的不失真存儲。當需要觀察這些信息時,只要以合適的頻率把這些信息從存儲器RAM中按原順序取出,經(jīng)D/A轉(zhuǎn)換和LPE濾波后送至示波器就可以觀察的還原后的波形。

      普通模擬示波器 CRT 上的 P31 熒光物質(zhì)的余輝時間小于 1ms。在有些情況下,使用 P7 熒光物質(zhì)的 CRT 能給出大約 300ms 的余輝時間。只要有信號照射熒光物質(zhì),CRT 就將不斷顯示信號波形。而當信號去掉以后使用 P31 材料的 CRT 上的掃跡迅速變暗,而使用 P7 材料的 CRT 上的掃跡停留時間稍長一些。

      那么,如果信號在一秒鐘內(nèi)只有幾次,或者信號的周期僅為數(shù)秒,甚至信號只猝發(fā)一次,那又將會怎么樣呢?在這種情況下,使用我們上面介紹過的模擬示波器幾乎乃至于完全不能觀察到這些信號。

      所謂數(shù)字存儲就是在示波器中以數(shù)字編碼的形式來貯存信號。當信號進入數(shù)字存儲示波器,或稱 DSO 以后,在信號到達CRT 的偏轉(zhuǎn)電路之前(圖1),示波器將按一定的時間間隔對信號電壓進行采樣。然后用一個模/數(shù)變換器(ADC)對這些采樣值進行變換從而生成代表每一個采樣電壓的二進制字。這個過程稱為數(shù)字化。

      獲得的二進制數(shù)值貯存在存儲器中。對輸入信號進行采樣的速率稱為采樣速率。采樣速率由采樣時鐘控制。對于一般使用情況來說,采樣速率的范圍從每秒 20 兆次(20MS/s)到 200MS/s。存儲器中貯存的數(shù)據(jù)用來在示波器的屏幕上重建信號波形。所以,在DSO中的輸入信號接頭和示波器 CRT 之間的電路不只是僅有模擬電路。輸入信號的波形在 CRT 上獲得顯示之前先要存貯到存儲器中,我們在示波器屏幕上看到的波形總是由所采集到數(shù)據(jù)重建的波形,而不是輸入連接端上所加信號的直接波形顯示。

      二、設計方案與電路實現(xiàn)

      1.工作原理

      數(shù)字存儲示波器與模擬示波器不同在于信號進入示波器后立刻通過高速A/D轉(zhuǎn)換器將模擬信號前端快速采樣,存儲其數(shù)字化信號。并利用數(shù)字信號處理技術(shù)對所存儲的數(shù)據(jù)進行實時快速處理,得到信號的波形及其參數(shù),并由示波器顯示,從而實現(xiàn)模擬示波器功能,而且測量精度高。還可存儲信號,因而,數(shù)字存儲示波器可以存儲和調(diào)用顯示特定時刻信號。

      計數(shù)器模塊采用和A/D同步時鐘,通過寫使能wren控制A/D采樣,當wren=1時,處于與采樣允許階段,每一個時鐘周期A/D采集一次數(shù)據(jù),每經(jīng)過一個采樣周期地址計數(shù)器加一,產(chǎn)生一次地址鎖存,并把數(shù)據(jù)鎖存到RAM中。

      2.A/D結(jié)構(gòu) ①內(nèi)含S/H;

      ②為半閃爍結(jié)構(gòu)(flash),兩個4bit并行A/D組合為8 bit,轉(zhuǎn)換速率20 Ms/s; ③輸入信號 +(0– 2)V; ④基準電壓 + 2V 等等 TLC5510內(nèi)部電路結(jié)構(gòu)

      3.利用quartus軟件,編寫.v文件(1)頂層模塊

      module reserve(clk, key1, trag, adin, dout);

      input[7:0] adin;input clk,key1;

      output[9:0] trag;output[9:0] dout;

      wire[9:0] trag;wire[9:0] dout;wire[9:0] Q1;wire[7:0] md;wire[7:0] din;

      reg[9:0] t;

      assign dout={md[7:0],2'b00};assign trag=Q1;

      count count(.q1(Q1),.clock(clk));ad ad(.ADIN(adin),.DIN(din),.CLK(clk));

      dpram dpram(.data(din),.wren(key1),.q(md),.address(Q1),.inclock(clk));endmodule

      (2)計數(shù)器模塊

      module count(clock,q1);input clock; output[9:0] q1;

      reg[9:0] t;

      always @(posedge clock)begin t<=t+1;end

      assign q1=t;endmodule

      (3)A/D模塊

      module ad(ADIN,CLK,DIN);input CLK;input[7:0] ADIN;output[7:0] DIN;

      reg[7:0] m;

      always@(posedge CLK)begin m<=ADIN;end

      assign DIN=m;endmodule

      描述電路

      4.實驗結(jié)論

      經(jīng)過在modelsim上仿真得到的波形滿足預先設計的功能,在quartus上編譯的結(jié)果也是正確的。

      三.心得體會

      這次的實驗讓我收獲頗多,因為這次的課程設計需要用到單片機的知識,verilog的知識,還用到了數(shù)字集成電路的知識,是我對于本專業(yè)的認識有了更深的了解,也是我明白了現(xiàn)在市面上的電子產(chǎn)品大體的設計步驟。不但如此,我還通過這次試驗掌握了示波器的使用方法,協(xié)調(diào)了知識綜合應用的能力。這次試驗中還用到了數(shù)模模數(shù)芯片,讓我對其產(chǎn)生好奇,因為這就相當于電子世界里面的人類的聽覺。并且通過這次實驗還對于quartus和modelsim軟件仿真有了一些認識,知道了編程序不要怕從哪里下手,一定要多練,寫的多了,自然而然就會了,這次實驗讓我對于vrilog語言結(jié)構(gòu)有更多了認識,并且我也熟悉了老師們經(jīng)常在課堂上說的FPGA,而且還鍛煉和組員們的協(xié)調(diào)能力,合作能力,受益匪淺。

      四.參考文獻

      《EDA技術(shù)實用教程---VHDL》潘松、黃繼業(yè)編著;

      《Verilog HDL高級數(shù)字設計》出版社: 電子工業(yè)出版社;第1版(2010年4月1日;

      《Verilog數(shù)字系統(tǒng)設計教程》夏宇聞;

      《數(shù)字信號處理的FPGA實現(xiàn)》(第2版)劉凌譯;

      第五篇:簡易數(shù)字顯示頻率計的設計

      簡易數(shù)字顯示頻率計的設計

      摘 要:本文應用NE555構(gòu)成時鐘電路,7809構(gòu)成穩(wěn)壓電源電路,CD4017構(gòu)成控制電路,CD40110和數(shù)碼管組成計數(shù)鎖存譯碼顯示電路,實現(xiàn)可測量1HZ-99HZ這個頻段的數(shù)字頻率計數(shù)器。

      關(guān)鍵詞:脈沖;頻率;計數(shù);控制 1 引 言

      在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測量方案、測量結(jié)果都有十分密切的關(guān)系,因此頻率的測量顯得很重要。測量頻率的方法有很多,其中電子計數(shù)器測量頻率具有精度高、使用方便、測量迅速,以及便于實現(xiàn)測量過程自動化等優(yōu)點,是頻率測量的重要手段之一。2 電子計數(shù)器測頻方法

      電子計數(shù)器測頻有兩種方式:一是直接測頻法,即在一定閘門時間內(nèi)測量被測信號的脈沖個數(shù);二是間接測頻法,如周期測頻法。數(shù)字頻率計是用數(shù)字顯示被測信號頻率的儀器,被測信號可以是正弦波,方波或其它周期性變化的信號。如配以適當?shù)膫鞲衅?,可以對多種物理量進行測試,比如機械振動的頻率、轉(zhuǎn)速、聲音的頻率以及產(chǎn)品的計件等等。因此,數(shù)字頻率計是一種應用很廣泛的儀器。3 簡易數(shù)字頻率計電路組成框圖

      本設計主要運用數(shù)字電路的知識,由NE555構(gòu)成時鐘電路,7809構(gòu)成穩(wěn)壓電源電路,CD4017構(gòu)成控制電路,CD40110和數(shù)碼管組成計數(shù)鎖存譯碼顯示電路。從單元電路的功能進行劃分,該頻率計由四大模塊組成,分別是電源電路、時鐘電路(閘門)、計數(shù)譯碼顯示電路、控制電路(被測信號輸入電路、鎖存及清零)。電路結(jié)構(gòu)如圖1所示。

      圖1 簡易數(shù)字頻率計電路組成框圖 單元模塊電路設計 4.1電源電路

      在電子電路中,通常都需要電壓穩(wěn)定的直流電源供電。小功率的穩(wěn)壓電源的組成如圖2所示,它由電源變壓器、整流電路、濾波電路和穩(wěn)壓電路四部分組成。

      圖2 電源電路

      220V市電經(jīng)220V/12V變壓器T降壓,二極管橋式整流電路整流,1000uF電容濾波后送人7809的輸入端(1腳)。7809的第二腳接地,第三腳輸出穩(wěn)壓的直流電壓,C7、C8是為了進一步改變輸出電壓的紋波。紅色發(fā)光管LED指示電源的工作狀態(tài),R9為LED的限流電阻,取值為5.1K。4.2 時鐘電路

      電路如圖3所示,由NE555構(gòu)成的多諧振電路,3腳輸出振蕩脈沖,其中LED為黃色發(fā)光二極管,R1為5.1K,R2為1K,R3為10K,C1,C5為100UF,C4為0.01UF,C2為1000PF,RPE選取10K。

      圖3 時鐘電路

      4.3計數(shù)、顯示電路

      電路中,CD40110是集十進制加減計數(shù)、譯碼、鎖存、驅(qū)動于一體的集成電路。CPU為加法輸入端,當有脈沖輸入時,計數(shù)器做加法計數(shù);CPD為減法輸入端,當有脈沖輸入時,計數(shù)器做減法計數(shù)。QCO為進位輸出端,計數(shù)器做加法時,每計滿10數(shù)后其輸出一個脈沖;QBO為借位輸出端,計數(shù)器做減法時,每計滿10數(shù)后其輸出一個脈沖。該頻率計電路使用CPU輸入端,在第10個脈沖信號輸入時,QCO輸出的進位脈沖作為計數(shù)脈沖送到高位計數(shù)器的CPU輸入端。5腳R端為計數(shù)器的清零端,當此腳加上高電平信號時,計數(shù)器的輸出狀態(tài)為零,并使相應的數(shù)碼管顯示0。4.4 被測信號輸入電路

      NE555等構(gòu)成頻率為1Hz的振蕩信號,由其3腳輸出經(jīng)非門反相后,作為控制信號加到CD4017的CP輸入端,產(chǎn)生時序控制信號,從而實現(xiàn)1s內(nèi)的脈沖計數(shù)(即頻率檢測)、數(shù)值保持及自動清零。從圖4中可以看出,當非門輸出端輸出第一個高電平脈沖時,這個脈沖使得CD4017的Q1輸出端由低電平變?yōu)楦唠娖?;在CD4017的CP輸入端輸入的第二個脈沖信號到來之前,Q1將一直保持高電平狀態(tài)。

      在Q1輸出高電平時,由CD4011組成的“與”門控制電路打開,從USB與非門的另一端輸入的被測脈沖信號就可以通過“與”門控制電路,進入到CD40110的CPu輸入端,進行脈沖計數(shù)。通過調(diào)節(jié)電位器調(diào)整NE555的振蕩頻率,使得Q1輸出高電平的持續(xù)時間為1s,那么在1s內(nèi)的計數(shù)累計的計數(shù)脈沖個數(shù),即為被測信號的頻率。4.5頻率顯示電路

      當USA與非門輸出第二個脈沖信號時,CD4017的Q1輸出端由高電平變?yōu)榈碗娖剑琎2輸出端由低電平變?yōu)楦唠娖?。Q1輸出端的低電平使“與”門控制電路關(guān)閉,此時由F2的另一腳輸入的被測信號就不能通過,計數(shù)器不工作。因此,當?shù)诙€脈沖出現(xiàn)時,數(shù)顯計數(shù)器停止計數(shù)。在第三個脈沖到來之前,Q2輸入端保持高電平,此高電平持續(xù)時間(1s)即為數(shù)值保持時間,可在1s內(nèi)讀取被測信號的頻率顯示值。4.6計數(shù)及顯示清零電路

      當?shù)谌齻€脈沖來到時,Q2端變?yōu)榈碗娖?,Q3端輸出高電平,但是由于Q3端與CD4017清零端Cr相連接,這個高電平信號使CD4017清零,Q1,Q2,Q3端全變?yōu)榈碗娖健D4017的Q3輸出端出現(xiàn)的瞬時高電平信號通過二極管加到CD40110的清零端R,使計數(shù)器及數(shù)顯清零,以便下次重新計數(shù)。

      圖4 頻率計整機電路原理圖 結(jié)論

      從電路的工作原理可以以看出,本電路介紹的頻率計的檢測周期為3s,每檢測一次,計數(shù)器累計時間1s,數(shù)據(jù)保持1s,清零后又保持1s,然后又開始計數(shù)、保持、清零的循環(huán)。如果感到數(shù)值保持時間過短,讀數(shù)取值不方便時,可將CD4017的Q3輸出端與Cr斷開,使Q4與Cr清零端相連,這樣數(shù)據(jù)保持時間就變?yōu)?s。

      本簡易數(shù)字顯示頻率計的設計目的是為了數(shù)字電路教學使用,使學生能夠靈活使用各類常見集成電路,掌握較復雜電路的設計步驟,在頻率測量上難免有很多缺陷。

      參考文獻

      【1】王港元.電工電子實踐指導.江西科學技術(shù)出版社,2005;【2】閆石.數(shù)字電子技術(shù)基礎(chǔ).高等教育出版社,2003;【2】王雅芳.protel99se電路設計與制版入門與提高.機械工業(yè)出版社,2011;The design of the simple frequency meter with digital display Abstracts: In this paper,the digital frequency consists of NE555 clock circuit, the 7809 regulated power supply circuit, the CD4017 control circuit, the CD40110 counting latch decoding circuit and the digital tube display circuit.It can measure the frequency of 1HZ-99HZ.Key words: Pulse, frequency ,counting, control

      下載簡易數(shù)字跑表設計5篇word格式文檔
      下載簡易數(shù)字跑表設計5篇.doc
      將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
      點此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔相關(guān)法律責任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進行舉報,并提供相關(guān)證據(jù),工作人員會在5個工作日內(nèi)聯(lián)系你,一經(jīng)查實,本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦

        “數(shù)字黑洞”及其簡易證明

        “數(shù)字黑洞”及其簡易證明安徽省蕪湖縣大閘中學林闖241121近年來,在各級各類數(shù)學競賽或數(shù)學考試中屢屢出現(xiàn)一類所謂的“數(shù)字黑洞”問題。這類問題既有趣、又神秘,還很怪異,往往......

        簡易設計合同[范文]

        設 計 合 同 設計委托方(簡稱甲方): 項目地址:聯(lián)系電話:設計方(簡稱乙方) :江蘇百思特裝飾安裝工程有限公司 地址:泰興市中興大道1號華泰大廈601-3室聯(lián)系電話:0523-89700999甲方委托乙......

        數(shù)字色彩設計

        數(shù)字色彩設計 教師:魏星所授課程:《數(shù)字色彩設計》、《媒介營銷與管理》、《新媒體廣告理論 與實務》、《互聯(lián)網(wǎng)傳播》(雙語)、《傳播與新媒體研究方法》 色彩設計是一項感性......

        數(shù)字交互設計

        《數(shù)字交互設計》教學大綱 課程名稱:數(shù)字交互設計 課程類型:專業(yè)方向課 課程編號:134081 學時數(shù)及學分:總32學時,2學分,其中講課8學時,上機24學時。 教材名稱及作者、出版社、出版......

        數(shù)字日歷設計

        XXXXX學院 《EDA技術(shù)與應用》實訓報告 數(shù)字日歷設計 學 號 XX 姓 名 XX 指導教師: xx 題目:數(shù)字日歷電路的設計 1 概述 通過EDA項目設計,使用Quartus軟件進行數(shù)字日歷電路的設......

        簡易信號發(fā)生器設計

        《單片機原理及應用》課程設計任務書 課程設計題目:簡易信號發(fā)生器設計 一、設計目的和要求 (一)、設計目的 通過設計簡易信號發(fā)生器,完成系統(tǒng)設計、編碼、調(diào)試及維護工作的實踐......

        《簡易方程》教學設計

        《簡易方程》教學設計 教學內(nèi)容: 蘇教版教材第九冊P90例1、“練一練”以及練習十二第1~2題和補充練習。 教學目標: 1、 2、 3、 使學生理解掌握方程ax±bx=c的解法。 培養(yǎng)學生......

        《簡易方程》教學設計

        《簡易方程》教學設計 ——樂秋鄉(xiāng)虎街哨小學教師 李坤艷 (我從教地區(qū)的飲用水絕大多數(shù)是用扁擔擔回家的,學生對扁擔比較熟悉,我用扁擔代替天平來進行方程的有關(guān)教學。) 一、結(jié)合......