欧美色欧美亚洲高清在线观看,国产特黄特色a级在线视频,国产一区视频一区欧美,亚洲成a 人在线观看中文

  1. <ul id="fwlom"></ul>

    <object id="fwlom"></object>

    <span id="fwlom"></span><dfn id="fwlom"></dfn>

      <object id="fwlom"></object>

      課程設(shè)計(jì)項(xiàng)目實(shí)踐

      時(shí)間:2019-05-12 15:58:08下載本文作者:會(huì)員上傳
      簡(jiǎn)介:寫(xiě)寫(xiě)幫文庫(kù)小編為你整理了多篇相關(guān)的《課程設(shè)計(jì)項(xiàng)目實(shí)踐》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫(xiě)寫(xiě)幫文庫(kù)還可以找到更多《課程設(shè)計(jì)項(xiàng)目實(shí)踐》。

      第一篇:課程設(shè)計(jì)項(xiàng)目實(shí)踐

      項(xiàng)目實(shí)踐、設(shè)計(jì)與產(chǎn)品制作

      本專(zhuān)題實(shí)踐是專(zhuān)為電氣工程專(zhuān)業(yè)的高年級(jí)學(xué)生,進(jìn)入專(zhuān)業(yè)學(xué)習(xí)前所進(jìn)行的專(zhuān)題培訓(xùn),目的是為了更好地使學(xué)生適應(yīng)專(zhuān)業(yè)學(xué)習(xí)和畢業(yè)設(shè)計(jì),重點(diǎn)培養(yǎng)同學(xué)們動(dòng)手實(shí)踐和自我規(guī)劃課題的能力。

      專(zhuān)業(yè)專(zhuān)題實(shí)踐分硬件和軟件兩類(lèi)。其中硬件類(lèi)實(shí)踐是在微機(jī)原理與應(yīng)用、單片機(jī)、DSP等課程基礎(chǔ)上,結(jié)合實(shí)際的小項(xiàng)目,通過(guò)項(xiàng)目調(diào)研、方案設(shè)計(jì)、實(shí)驗(yàn)調(diào)試、總結(jié)報(bào)告,進(jìn)行具體項(xiàng)目的綜合訓(xùn)練。在整個(gè)實(shí)踐過(guò)程中,希望同學(xué)們有意識(shí)地注意以下幾個(gè)方面的訓(xùn)練:調(diào)研,方案設(shè)計(jì),系統(tǒng),控制軟件方法設(shè)計(jì),調(diào)試,總結(jié)。

      同學(xué)們首先要站在宏觀角度審視一個(gè)具體項(xiàng)目,通過(guò)調(diào)研,制定技術(shù)方案;然后按照所確定的技術(shù)路線(xiàn)進(jìn)行相應(yīng)的設(shè)計(jì)、制作、編程、調(diào)試和測(cè)試,最后進(jìn)行總結(jié)和提高。希望同學(xué)們以非常認(rèn)真的態(tài)度,珍惜難得的實(shí)踐機(jī)會(huì),認(rèn)真完成實(shí)踐任務(wù)。

      需要提醒的是,專(zhuān)業(yè)專(zhuān)題實(shí)踐不同于其它課程,它是項(xiàng)目型教學(xué),主要是發(fā)揮同學(xué)們自己的主觀能動(dòng)性,自主學(xué)習(xí)性,不要依賴(lài)教師。在實(shí)踐中教師是在宏觀上輔導(dǎo)(如幫助分析方案和解答疑惑問(wèn)題),所起的作用是輔助性的。更多的是需要靠同學(xué)們自己主動(dòng)去調(diào)研、設(shè)計(jì)方案、實(shí)驗(yàn)、總結(jié)。項(xiàng)目型實(shí)踐課程與平時(shí)的實(shí)驗(yàn)課不同,實(shí)驗(yàn)課有詳細(xì)、具體的實(shí)驗(yàn)指導(dǎo)書(shū),告訴同學(xué)們具體的實(shí)驗(yàn)步驟。項(xiàng)目實(shí)踐課并不給出具體詳細(xì)實(shí)踐步驟和方法,需要同學(xué)們自己通過(guò)調(diào)研、與老師或同學(xué)討論、合理分工完成。這里不僅培養(yǎng)同學(xué)們的自主學(xué)習(xí)(自主研發(fā))精神和能力,也培養(yǎng)大家主動(dòng)型的學(xué)習(xí)習(xí)慣,同時(shí)更是培養(yǎng)大家相互協(xié)作精神、發(fā)現(xiàn)問(wèn)題和解決問(wèn)題的能力以及研究性學(xué)習(xí)的能力。

      一、實(shí)踐要求

      1. 每個(gè)實(shí)驗(yàn)項(xiàng)目3人一組,分工進(jìn)行,提倡好的合作精神; 2. 專(zhuān)題實(shí)踐以設(shè)計(jì)、實(shí)踐為主,共四周時(shí)間;

      3. 愛(ài)護(hù)設(shè)備,不隨意更換設(shè)備,如有要求,請(qǐng)與老師聯(lián)系;

      4. 做硬件實(shí)驗(yàn)時(shí),注意斷電操作,即所有的接線(xiàn)、改線(xiàn)及拆線(xiàn)操作均應(yīng)在不帶電的狀態(tài)下進(jìn)行,嚴(yán)禁帶電操作;

      5. 堅(jiān)持復(fù)查制度,例如通電前的復(fù)查:一人接線(xiàn)另一人檢查,重要結(jié)果和現(xiàn)象復(fù)查實(shí)行二人制;

      6. 每天實(shí)驗(yàn)完畢(包括中午),請(qǐng)恢復(fù)桌椅原位,保持實(shí)驗(yàn)室的整潔,關(guān)閉所用實(shí)驗(yàn)設(shè)備電源,再離開(kāi)實(shí)驗(yàn)室;

      7. 注意人身、實(shí)驗(yàn)儀器和設(shè)備安全,防止發(fā)生事故。萬(wàn)一發(fā)生事故,應(yīng)立即切斷電源,保護(hù)人員,保存現(xiàn)場(chǎng),并立即向教師報(bào)告,檢查事故原因,總結(jié)并吸取教訓(xùn);

      8. 電熱設(shè)備(如烙鐵)用畢必須隨手拔下電源插頭,以免過(guò)熱引起火災(zāi);平時(shí)注意實(shí)驗(yàn)板上的帶電情況,測(cè)量和調(diào)試中防止觸電、短路;注意示波器高頻探頭的地極是通過(guò)示波器電源插頭的中心端接地的,切勿將該地極與交流電源的火線(xiàn)相接,否則將發(fā)生短路事故;

      9. 參考文獻(xiàn)或芯片、器件手冊(cè)閱讀量要求:20篇以上; 10. 要求每日記錄工作日志和實(shí)驗(yàn)情況,參見(jiàn)簡(jiǎn)要工作日志格式。

      二、實(shí)踐報(bào)告及成績(jī)?cè)u(píng)定

      1. 以小組(3人名義)形式需要完成3份報(bào)告:(1)開(kāi)題報(bào)告;(2)中期報(bào)告;(3)結(jié)題報(bào)告。報(bào)告要求見(jiàn)后面要求。注意:

      2. 每人需要完成1份個(gè)人小結(jié),第5周五前前提交,延期扣分。報(bào)告格式見(jiàn)后面要求。3. 實(shí)施2次檢查,(1)中期檢查,第2周周5;(2)結(jié)題檢查,第4周周5。4. 成績(jī)?cè)u(píng)定依據(jù):

      開(kāi)題10分;中期考核10分;態(tài)度10分; 項(xiàng)目工作完成情況40分:

      項(xiàng)目完成的質(zhì)和量是考核的重點(diǎn),還要考核同學(xué)們專(zhuān)題實(shí)踐的主動(dòng)性,項(xiàng)目組成員之間的合作情況,儀器設(shè)備、芯片的正確使用情況,項(xiàng)目驗(yàn)收時(shí)匯報(bào)和答辯情況等; 項(xiàng)目報(bào)告30分:

      報(bào)告質(zhì)量情況、總結(jié)的深度是考核的重點(diǎn),兼顧認(rèn)真程度和格式等。建議結(jié)題報(bào)告按學(xué)位論文格式完成,要求有:摘要、綜述(文獻(xiàn)閱讀、任務(wù)分析、工作安排等)、正文論述、項(xiàng)目結(jié)論、參考文獻(xiàn)等。

      鼓勵(lì)同學(xué)們自主創(chuàng)新,如有專(zhuān)利、論文等的思路或草稿,可以考慮適當(dāng)加分。

      .結(jié)題報(bào)告格式(每組只交一份,第2周末交)要求按畢業(yè)設(shè)計(jì)論文模板書(shū)寫(xiě)結(jié)題報(bào)告。報(bào)告包括以下內(nèi)容: 1. 報(bào)告摘要;

      2. 課題論述:包括專(zhuān)題實(shí)驗(yàn)?zāi)康?,文獻(xiàn)閱讀、項(xiàng)目的綜述,項(xiàng)目要求、技術(shù)要點(diǎn)、難點(diǎn);

      3. 設(shè)計(jì)方案說(shuō)明,包括設(shè)計(jì)方案研究、分析、總體方案思路,所采取的技術(shù)路線(xiàn),工作和時(shí)間安排,人員分工;

      4. 設(shè)計(jì)內(nèi)容,包括具體的項(xiàng)目設(shè)計(jì),軟硬件設(shè)計(jì),調(diào)試,討論;

      資源:?jiǎn)纹瑱C(jī)的選擇論述,軟件資源的利用等; 硬件:電路原理圖、PCB圖(如果有的話(huà)); 軟件:結(jié)構(gòu)、流程、源程序和程序注釋?zhuān)?調(diào)試:調(diào)試過(guò)程、問(wèn)題的發(fā)現(xiàn)和解決;

      5. 課題實(shí)驗(yàn)、調(diào)試記錄,完成情況,存在問(wèn)題及可能的解決方案,工作總結(jié); 6. 項(xiàng)目結(jié)論(實(shí)驗(yàn)結(jié)果和結(jié)論、成果、遺憾、后續(xù)工作);

      7. 附錄(包括列出所用軟件工具、找到的各種電子參考資料、參考文獻(xiàn)等)

      個(gè)人實(shí)踐小結(jié)(每人交一份),第2周末交

      主要寫(xiě)個(gè)人對(duì)項(xiàng)目的理解、投入、貢獻(xiàn)、收獲,并對(duì)自己的項(xiàng)目工作做簡(jiǎn)單評(píng)估,按五個(gè)等級(jí)自評(píng):優(yōu)(90~100),良(80~89),中(79~70),及格(60~69),差(60以下)。請(qǐng)實(shí)事求是評(píng)價(jià)個(gè)人工作,過(guò)高或過(guò)低評(píng)價(jià)都不推薦。

      項(xiàng)目1 微機(jī)繼電保護(hù)的設(shè)計(jì)與實(shí)現(xiàn)

      一.實(shí)驗(yàn)?zāi)康?/p>

      學(xué)習(xí)和實(shí)踐交流信號(hào)數(shù)據(jù)采集和微機(jī)保護(hù)算法,學(xué)習(xí)微機(jī)保護(hù)的軟、硬件原理,設(shè)計(jì)微機(jī)保護(hù)原理型樣機(jī),使用學(xué)?,F(xiàn)有單片機(jī)教學(xué)實(shí)驗(yàn)箱或?qū)嶒?yàn)板完成原理型微機(jī)繼電保護(hù)裝置并實(shí)現(xiàn)其主要功能。

      二.實(shí)驗(yàn)任務(wù)

      1. 學(xué)習(xí)一種單片機(jī)(如Intel8051、MC、ARM、瑞薩9等)或DSP(2407、2812、28335等)原理和使用,學(xué)習(xí)其編程方法;

      2. 學(xué)習(xí)A/D轉(zhuǎn)換技術(shù),搭建單片機(jī)外圍模擬交流信號(hào)(A/D轉(zhuǎn)換器外圍信號(hào))采集環(huán)節(jié),用信號(hào)發(fā)生器模擬繼電保護(hù)電流信號(hào)(互感器輸出),測(cè)試瞬時(shí)性繼電保護(hù)(如電流速斷)動(dòng)作特性(動(dòng)作和返回,返回系數(shù)0.9); 3. 設(shè)計(jì)交流信號(hào)的數(shù)據(jù)采集和微機(jī)保護(hù)算法,設(shè)計(jì)數(shù)字濾波算法,編寫(xiě)繼電保護(hù)軟件,常用有效值算法,例如半周波積分算法、差分算法、傅立葉算法等;

      4. 編寫(xiě)實(shí)時(shí)顯示程序,實(shí)現(xiàn)顯示功能:顯示交流信號(hào)有效值(折算出虛擬CT原邊電流,例如100/5A,5A/2V),如能設(shè)計(jì)通訊,使用PC機(jī)做上位監(jiān)控計(jì)算機(jī)實(shí)時(shí)顯示測(cè)量值最好;

      5. 編寫(xiě)延時(shí)過(guò)電流保護(hù)邏輯的微機(jī)保護(hù)軟件,并實(shí)現(xiàn)延時(shí)過(guò)電流保護(hù); 6. 設(shè)計(jì)實(shí)驗(yàn)電路板(原理圖和PCB電路板),并評(píng)估。

      三.相關(guān)資料和實(shí)驗(yàn)平臺(tái)

      1. 單片機(jī)或DSP實(shí)驗(yàn)主板,單片機(jī)或DSP資料和datasheet; 2. 繼電保護(hù)、微機(jī)繼電保護(hù)參考書(shū);

      3. 微機(jī)繼電保護(hù)參考文獻(xiàn),軟件流程和交流采樣算法文獻(xiàn); 4. 實(shí)驗(yàn)板、部分外圍芯片(如運(yùn)放)、信號(hào)發(fā)生器、示波器等 5. C++編程或Lab View界面編程語(yǔ)言。

      四.提示

      請(qǐng)同學(xué)編寫(xiě)繼電保護(hù)軟件,主要是設(shè)計(jì)交流數(shù)據(jù)采集策略和算法,讓單片機(jī)實(shí)時(shí)顯示被采集的交流信號(hào)有效值,比較保護(hù)定值,決定保護(hù)動(dòng)作(驅(qū)動(dòng)指示燈實(shí)時(shí)點(diǎn)亮)。

      五.選題對(duì)象

      限已經(jīng)選修數(shù)字和模擬電子電路、單片機(jī)課程的同學(xué),學(xué)習(xí)過(guò)微機(jī)繼電保護(hù)最好。3人一組,合理分工。

      項(xiàng)目2 變頻器的設(shè)計(jì)與實(shí)現(xiàn)

      暫緩

      一、實(shí)驗(yàn)?zāi)康?/p>

      學(xué)習(xí)變頻調(diào)速原理,學(xué)習(xí)正弦波PWM控制方法,掌握設(shè)計(jì)和實(shí)現(xiàn)變頻調(diào)試器的方法。本任務(wù)擬采用紙上談兵方式進(jìn)行,主要是考核同學(xué)們具體任務(wù)的分析問(wèn)題和解決問(wèn)題的能力。要求給出設(shè)計(jì)方案、方法、作出設(shè)計(jì)、以及實(shí)現(xiàn)方式。

      二.實(shí)驗(yàn)任務(wù)

      1. 利用IPM設(shè)計(jì)變頻器的主電路;

      2. 利用瑞薩單片機(jī)、MSP430或DSP設(shè)計(jì)變頻器的控制系統(tǒng)(主要是PWM); 3. 調(diào)試變頻器的軟、硬件系統(tǒng),最終能帶三相小型異步電機(jī);

      4. 設(shè)計(jì)相關(guān)的控制算法、控制軟件,產(chǎn)生變頻PWM信號(hào)或功率輸出,實(shí)現(xiàn):

      (1)變頻器的變頻輸出、V/F特性(V/F=const);

      (2)電機(jī)的起動(dòng)和停止特性、電機(jī)運(yùn)行中的變頻控制、調(diào)壓控制等;(3)評(píng)估逆變器輸出波形。

      三.實(shí)驗(yàn)平臺(tái)和有關(guān)資料

      1. 變頻器樣機(jī)(供設(shè)計(jì)參考,該樣機(jī)資料有限,開(kāi)放性較差,能開(kāi)發(fā)的余地?。?; 2. 提供變頻器主電路板及相關(guān)器件,可在此基礎(chǔ)上完成變頻器主電路系統(tǒng);

      3. 自選單片機(jī)(實(shí)驗(yàn)室已有的)做控制平臺(tái),依據(jù)該單片機(jī)Datasheet編寫(xiě)相關(guān)控制軟件并調(diào)試;

      4. 相關(guān)的一些網(wǎng)站:TI公司 DSP網(wǎng)站上面有許多介紹變頻器控制的軟件、方法、甚至源代碼;三菱公司網(wǎng)站,介紹IPM模塊、整流模塊;

      一些變頻器網(wǎng)站介紹變頻器:如http://004km.cn等; 5. 圖書(shū)館可借閱一些變頻器相關(guān)書(shū)籍、文獻(xiàn)。

      四.選題對(duì)象

      限已經(jīng)選修過(guò)電力電子、數(shù)字和模擬電子電路、單片機(jī)課程的同學(xué)。

      第二篇:Java Web項(xiàng)目實(shí)踐課程設(shè)計(jì)說(shuō)明書(shū) 樣張

      長(zhǎng) 春 大 學(xué)課程設(shè)計(jì)紙

      課程設(shè)計(jì)說(shuō)明書(shū),大體格式及要求如下:

      一、封面;

      線(xiàn)

      二、目錄;

      三、說(shuō)明書(shū)正文,主要內(nèi)容包括: 1.設(shè)計(jì)題目; 2.設(shè)計(jì)目的; 3.設(shè)計(jì)分析(包括需求分析); 4.總體設(shè)計(jì)(程序主要模塊和數(shù)據(jù)庫(kù)設(shè)計(jì) 其中數(shù)據(jù)庫(kù)設(shè)計(jì)又包括數(shù)據(jù)庫(kù)概念設(shè)計(jì)(包括E-R圖);數(shù)據(jù)庫(kù)邏輯結(jié)構(gòu)設(shè)計(jì)(設(shè)計(jì)出了具體的數(shù)據(jù)表)。5.詳細(xì)設(shè)計(jì)(包括數(shù)據(jù)庫(kù)實(shí)現(xiàn)和系統(tǒng)各模塊的實(shí)現(xiàn));(其中,數(shù)據(jù)庫(kù)實(shí)現(xiàn)包括:數(shù)據(jù)庫(kù)、數(shù)據(jù)表結(jié)構(gòu)的建立、修改,數(shù)據(jù)的更新、插 入、刪除和查詢(xún)等 6.結(jié)論

      共1頁(yè)第1頁(yè)

      第三篇:《軟件項(xiàng)目管理方法與實(shí)踐》課程設(shè)計(jì)報(bào)告

      軟件項(xiàng)目管理方法與實(shí)踐 課 程 設(shè) 計(jì) 報(bào) 告

      1006602-** ***

      一、設(shè)計(jì)時(shí)間

      2013年12月23日-----1月6日

      二、設(shè)計(jì)地點(diǎn)

      湖南城市學(xué)院信息樓406機(jī)房

      三、設(shè)計(jì)目的1,2,3,四、設(shè)計(jì)小組成員

      五、指導(dǎo)老師

      陽(yáng)王東老師、費(fèi)雄偉老師

      六、設(shè)計(jì)課題

      七、基本思路及關(guān)鍵問(wèn)題的解決方法

      八、流程圖

      九、調(diào)試過(guò)程中出現(xiàn)的問(wèn)題及相應(yīng)解決辦法

      十、課程設(shè)計(jì)心得體會(huì)

      十一、源程序

      參考文獻(xiàn)

      第四篇:EDA課程設(shè)計(jì)專(zhuān)題實(shí)踐

      EDA課程設(shè)計(jì)專(zhuān)題實(shí)踐

      結(jié)課論文

      題目:出租車(chē)自動(dòng)計(jì)費(fèi)器

      專(zhuān)業(yè):電子信息工程

      班級(jí):電子z1301 姓名:陽(yáng)家昆 學(xué)號(hào):1310910422

      一、設(shè)計(jì)題目:出租車(chē)自動(dòng)計(jì)費(fèi)器

      二、設(shè)計(jì)目標(biāo):

      1、掌握出租車(chē)的計(jì)費(fèi)功能

      2、進(jìn)一步熟悉用VHDL語(yǔ)言編寫(xiě)出租車(chē)計(jì)費(fèi)程序

      三、設(shè)計(jì)要求:

      1、設(shè)計(jì)一個(gè)出租車(chē)自動(dòng)計(jì)費(fèi)器,具有行車(chē)?yán)锍逃?jì)費(fèi)、等候時(shí)間計(jì)費(fèi)、及起價(jià)三部分,用三位數(shù)碼管顯示總金額,最大值為99.9元;

      2、行車(chē)?yán)锍虇蝺r(jià)1.7元/公里,等候時(shí)間單價(jià)1元/5分鐘,起價(jià)8元(3公里起價(jià))。

      3、行車(chē)?yán)锍痰挠?jì)費(fèi)電路將汽車(chē)行駛的里程數(shù)轉(zhuǎn)換成與之成正比的脈沖數(shù),然后由計(jì)數(shù)譯碼電路轉(zhuǎn)換成收費(fèi)金額,以一個(gè)脈沖模擬汽車(chē)前進(jìn)十米,則每100個(gè)脈沖表示1公里。

      4、用兩個(gè)數(shù)碼管顯示行駛公里數(shù);兩個(gè)數(shù)碼管顯示等待時(shí)間;三個(gè)數(shù)碼管顯示收費(fèi)金額。

      5、設(shè)置一個(gè)復(fù)位清零按鍵,可將計(jì)程公里數(shù)、計(jì)時(shí)數(shù)、應(yīng)付費(fèi)用清零;

      6、設(shè)置一個(gè)剎車(chē)按鍵,當(dāng)松開(kāi)按鍵時(shí)公里數(shù)開(kāi)始計(jì)程,按下時(shí)停止計(jì)程,開(kāi)始計(jì)時(shí);

      四、設(shè)計(jì)原理:

      根據(jù)設(shè)計(jì)要求,系統(tǒng)的輸入信號(hào)clk,計(jì)價(jià)開(kāi)始信號(hào)start,等待信號(hào)stop,里程脈沖信號(hào)fin。系統(tǒng)的輸出信號(hào)有:總費(fèi)用數(shù)C0—c3,行駛距離k0—k1,等待時(shí)間m0—m1等。系統(tǒng)有兩個(gè)脈沖輸入信號(hào)clk_48m,fin,其中clk_48m將根據(jù)設(shè)計(jì)要求分頻成17hz,2hz和1hz分別作為公里計(jì)費(fèi)和時(shí)間計(jì)費(fèi)的脈沖。兩個(gè)控制輸入開(kāi)關(guān)start,stop;控制過(guò)程為:start作為計(jì)費(fèi)開(kāi)始的開(kāi)關(guān),當(dāng)start為高電平時(shí),系統(tǒng)開(kāi)始根據(jù)輸入的情況計(jì)費(fèi)。當(dāng)有乘客上車(chē)并開(kāi)始行駛時(shí),fin脈沖到來(lái),進(jìn)行行駛計(jì)費(fèi),此時(shí)的stop需要置為0;如需停車(chē)等待,就把stop變?yōu)楦唠娖?,并去除fin輸入脈沖,進(jìn)行等待計(jì)費(fèi);當(dāng)乘客下車(chē)且不等待時(shí),直接將start置為0,系統(tǒng)停止工作;價(jià)格開(kāi)始?xì)w為起步價(jià)8.0元。整個(gè)設(shè)計(jì)由分頻模塊,計(jì)量模塊,計(jì)費(fèi)模塊,控制模塊和顯示模塊五個(gè)部分組成。其中計(jì)量模塊是整個(gè)系統(tǒng)實(shí)現(xiàn)里程計(jì)數(shù)和時(shí)間計(jì)數(shù)的重要部分;控制模塊是實(shí)現(xiàn)不同計(jì)費(fèi)方式的選擇部分,根據(jù)所設(shè)計(jì)的使能端選擇是根據(jù)里程計(jì)費(fèi)還是根據(jù)等待時(shí)間計(jì)費(fèi),同時(shí)設(shè)計(jì)通過(guò)分頻模塊產(chǎn)生不同頻率的脈沖信號(hào)來(lái)實(shí)現(xiàn)系統(tǒng)的計(jì)費(fèi)。計(jì)量模塊采用1hz的驅(qū)動(dòng)信號(hào),計(jì)費(fèi)模塊采用17hz,2hz的驅(qū)動(dòng)信號(hào);計(jì)量模塊每計(jì)數(shù)一次,計(jì)量模塊就實(shí)現(xiàn)17次或者2次計(jì)數(shù),即為實(shí)現(xiàn)計(jì)時(shí)的0.2元/min,計(jì)程時(shí)的1.7元/km的收費(fèi)。

      三、設(shè)計(jì)內(nèi)容: 1.分頻模塊

      由于實(shí)驗(yàn)箱上沒(méi)有17hz和2hz的整數(shù)倍時(shí)鐘信號(hào),因此采用頻率 較大的48mhz進(jìn)行分頻,以近似得到17hz,2hz和1hz的時(shí)鐘頻率。通過(guò)以上三種不同頻率的脈沖信號(hào)實(shí)行出租車(chē)行駛,等待兩種情況下的不同計(jì)費(fèi)。模塊元件如下:

      圖1分頻模塊實(shí)體圖 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity pulse is port(clk_48m:in std_logic;

      clk_17:buffer std_logic;

      clk_2:buffer std_logic;

      clk_1 : buffer std_logic);

      end pulse;architecture one of pulse is signal q_17:integer range 0 to 2823528;

      signal q_2:integer range 0 to 23999999;signal q_1:integer range 0 to 47999999;begin

      process(clk_48m)begin If(clk_48m' event and clk_48m='1')then If q_17=2823528 then q_17<=0;clk_17<=not clk_17;

      else q_17<=q_17+1;

      end if;

      If q_2=23999999 then q_2<=0;clk_2<=not clk_2;

      else q_2<=q_2+1;

      end if;

      If q_1=47999999 then q_1<=0;clk_1<=not clk_1;

      else q_1<=q_1+1;

      end if;

      end if;end process;end;2.計(jì)量模塊

      計(jì)量模塊主要完成計(jì)時(shí)和計(jì)程功能。計(jì)時(shí)部分:計(jì)算乘客的等待累積時(shí)間,本模塊中en1使能信號(hào)變?yōu)?;當(dāng)clk1每來(lái)一個(gè)上升沿,計(jì)時(shí)器就自增1,計(jì)時(shí)器的量程為59min,滿(mǎn)量程后自動(dòng)歸零。計(jì)程部分:計(jì)算乘客所行駛的公里數(shù),當(dāng)行駛里程大于3km時(shí)。本模塊中en0使能信號(hào)變?yōu)?;當(dāng)clk每來(lái)一個(gè)上升沿,計(jì)程器就自增1,計(jì)程器的量程為

      99km,滿(mǎn)量程后自動(dòng)歸零。

      圖2計(jì)量模塊實(shí)物圖 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jiliang is port(start:in std_logic;

      fin:in std_logic;

      stop:in std_logic;

      clk1:in std_logic;

      en1,en0:buffer std_logic;

      k1,k0:buffer std_logic_vector(3 downto 0);

      m1,m0:buffer std_logic_vector(3 downto 0));

      end jiliang;architecture rt2 of jiliang is signal w:integer range 0 to 59;

      begin

      process(clk1)begin if clk1'event and clk1='1' then

      if start='1' then

      w<=0;en1<='0';en0<='0';m1<=“0000”;

      m0<=“0000”;k1<=“0000”;k0<=“0000”;elsif stop='0' then

      if w=59 then

      w<=0;

      else w<=w+1;end if;if m0=“1001” then

      m0<=“0000”;if m1=“0101” then

      m1<=“0000”;else m1<=m1+1;end if;else m0<=m0+1;end if;if stop='0' then en0<='0';en1<='1';

      else en1<='0';end if;elsif fin='1' then

      if k0=“1001” then k0<=“0000”;if k1=“1001” then k1<=“0000”;

      else k1<=k1+1;end if;else k0<=k0+1;end if;if stop='1' then en1<='0';if k1&k0>“00000010” then

      en0<='1';

      else en0<='0';end if;end if;end if;end if;end process;end rt2;3.控制模塊

      本模塊主要是通過(guò)計(jì)量模塊產(chǎn)生的兩個(gè)不同的輸入使能信號(hào)en0,en1,對(duì)每個(gè)分頻模塊輸出的17hz,2hz的脈沖進(jìn)行選擇輸出的過(guò)程;本模塊實(shí)現(xiàn)了雙脈沖的二選一;最終目的為了計(jì)費(fèi)模塊中對(duì)行駛過(guò)程中不同的時(shí)段進(jìn)行計(jì)價(jià)。

      圖3控制模塊實(shí)物圖 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity kongzhi is port(en0,en1:in std_logic;

      clk_in1:in std_logic;

      clk_in2:in std_logic;

      clk_out:out std_logic);

      end kongzhi;architecture rt3 of kongzhi is begin process(en0,en1)begin

      if en0='1' then

      clk_out<=clk_in1;

      elsif en1='1' then

      clk_out<=clk_in2;

      end if;end process;end rt3;4.計(jì)費(fèi)模塊

      當(dāng)計(jì)費(fèi)信號(hào)start一直處于高電平即計(jì)費(fèi)狀態(tài)時(shí),本模塊根據(jù)控制模塊選擇出的信號(hào)從而對(duì)不同的單價(jià)時(shí)段進(jìn)行計(jì)費(fèi)。即行程在3km內(nèi),起步價(jià)8元;3km外以每公里1.7元計(jì)費(fèi),等待時(shí)間則按每分鐘1.3元計(jì)費(fèi)。c0,c1,c2分別表示費(fèi)用的顯示。

      圖4計(jì)費(fèi)模塊實(shí)物圖 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity jifei is port(clk2:in std_logic;

      start:in std_logic;

      c0,c1,c2:buffer std_logic_vector(3 downto 0));end jifei;architecture rt4 of jifei is begin process(clk2,start)begin if start='1'then c2<=“0000”;c1<=“1000”;c0<=“0000”;

      elsif clk2'event and clk2='1'then

      if c0=“1001” then c0<=“0000”;

      if c1=“1001” then c1<=“0000”;

      if c2=“1001” then c2<=“0000”;

      else c2<=c2+1;

      end if;

      else c1<=c1+1;

      end if;

      else c0<=c0+1;

      end if;end if;end process;end rt4;5.顯示模塊

      顯示模塊完成計(jì)價(jià),計(jì)時(shí)和計(jì)程數(shù)據(jù)顯示。計(jì)費(fèi)數(shù)據(jù)送入顯示模塊進(jìn)行譯碼,最后送至以十元,元,角為單位對(duì)應(yīng)的數(shù)碼管上顯示。計(jì)時(shí)數(shù)據(jù)送入顯示模塊進(jìn)行譯碼,最后送至以分為單位對(duì)應(yīng)的數(shù)碼管上顯示。計(jì)程數(shù)據(jù)送入顯示模塊進(jìn)行譯碼,最后送至以km為單位的數(shù)碼管上顯示。

      圖五顯示模塊實(shí)物圖 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi is

      port(clk:in std_logic;

      b,c,d,e,f,g,h:in std_logic_vector(3 downto 0);

      sg:out std_logic_vector(6 downto 0);

      dian:out std_logic;

      bt:out std_logic_vector(7 downto 0));

      end;architecture one of xianshi is signal cnt8 : std_logic_vector(2 downto 0);signal a : std_logic_vector(3 downto 0);signal xiao:std_logic;begin p1:process(cnt8)

      begin

      case cnt8 is

      when “000”=>bt<=not“00000001”;a<=b;

      when “001”=>bt<=not“00000010”;a<=c;

      when “010”=>bt<=not“00000100”;a<=d;

      when “011”=>bt<=not“00010000”;a<=e;

      when “100”=>bt<=not“00100000”;a<=f;

      when “101”=>bt<=not“01000000”;a<=g;

      when “110”=>bt<=not“10000000”;a<=h;

      when others=>null;

      end case;

      if cnt8=“001” then xiao<='0';

      else xiao<='1';end if;end process p1;p2:process(clk)

      begin

      if clk'event and clk='1' then

      if cnt8<“110” then cnt8<=cnt8+1;

      else cnt8<=“000”;

      end if;

      end if;

      end process p2;p3:process(a)

      begin

      case a is

      when “0000”=>sg<=not“0111111”;when “0001”=>sg<=not“0000110”;

      when “0010”=>sg<=not“1011011”;when “0011”=>sg<=not“1001111”;

      when “0100”=>sg<=not“1100110”;when “0101”=>sg<=not“1101101”;

      when “0110”=>sg<=not“1111101”;when “0111”=>sg<=not“0000111”;

      when “1000”=>sg<=not“1111111”;when “1001”=>sg<=not“1101111”;

      when others=>null;

      end case;

      end process p3;

      dian<=xiao;

      end;

      6.頻率計(jì)模塊

      頻率計(jì)模塊為掃描電路提供高頻率的時(shí)鐘脈沖,是掃描電路正常工作。

      圖6頻率計(jì)模塊

      library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pulse1 is

      port(clk: in std_logic;

      --d:

      in std_logic_vector(7 DOWNTO 0);

      Fout: out std_logic);end;architecture one of pulse1 is signal full:std_logic;begin

      p_reg:process(clk)

      variable cnt8:integer range 48000000 downto 0;

      begin

      if clk'event and clk='1'then

      if cnt8 =2399 then

      cnt8:=0;

      full<='1';

      else cnt8:=cnt8+1;

      full<='0';

      end if;

      end if;end process p_reg;p_div:process(full)

      variable cnt2:std_logic;

      begin

      if full'event and full='1' then

      cnt2:=not cnt2;

      If cnt2='1'then fout<='1';

      else fout<='0';

      end if;

      end if;end process p_div;end;

      7、總結(jié)構(gòu)圖

      四、實(shí)驗(yàn)現(xiàn)象

      當(dāng)start為按下時(shí)里程數(shù)開(kāi)始計(jì)數(shù),當(dāng)里程數(shù)小于3時(shí)總金額恒為8元錢(qián),當(dāng)里程數(shù)大于3時(shí)總金額以17hz的頻率加1。當(dāng)按下stop時(shí),里程數(shù)停止計(jì)數(shù),時(shí)間開(kāi)始計(jì)數(shù),同時(shí)總金額以2hz的頻率加1。當(dāng)松開(kāi)stop里程數(shù)又開(kāi)始計(jì)數(shù),當(dāng)start松開(kāi)時(shí),總金額變?yōu)?元,里程數(shù)和時(shí)間都變?yōu)?.五、實(shí)驗(yàn)感想 經(jīng)歷這次實(shí)驗(yàn)是我對(duì)EDA編程有了新的認(rèn)識(shí),在自己編寫(xiě)出程序之后運(yùn)行沒(méi)有報(bào)錯(cuò)并不代表你的程序就對(duì)了。因?yàn)榻Y(jié)果不一樣那么程序還是存在著問(wèn)題,那么這時(shí)應(yīng)該一個(gè)模塊一個(gè)模塊的檢查。在檢查的時(shí)應(yīng)該對(duì)相應(yīng)模塊做出波形圖沒(méi)看時(shí)候和自己的功能一樣,是否達(dá)到所要的結(jié)果。在實(shí)在不知道哪里錯(cuò)了沒(méi)我們可以請(qǐng)教老師,或者自己查詢(xún)網(wǎng)絡(luò)。我覺(jué)的編程時(shí)構(gòu)思是相當(dāng)重要的,這決定你的程序的復(fù)雜程度,越復(fù)雜的的程序出錯(cuò)的幾率越大,當(dāng)你修改的時(shí)候?qū)?huì)越困難,當(dāng)然一個(gè)好的構(gòu)思并不是你想的那么簡(jiǎn)單,這必須是多次編程累計(jì)的經(jīng)驗(yàn)。當(dāng)變得程序越多,你對(duì)程序了解的也就越深,自然而然你對(duì)編程的熟練度也就有很大的提升。這也就告訴我們,應(yīng)蓋在學(xué)習(xí)的時(shí)候好好學(xué)習(xí)才對(duì),別老想著濫竽充數(shù)。

      第五篇:課程設(shè)計(jì)實(shí)踐心得

      一、課程設(shè)計(jì)的目的

      掌握電力系統(tǒng)潮流計(jì)算的基本原理。掌握并熟練運(yùn)用MATLAB仿真軟件。

      采用MATLAB仿真軟件,做出系統(tǒng)接線(xiàn)圖的潮流計(jì)算仿真結(jié)果。

      二、課程設(shè)計(jì)要求

      1、在讀懂程序的基礎(chǔ)上畫(huà)出潮流計(jì)算基本流程圖

      2、通過(guò)輸入數(shù)據(jù),進(jìn)行潮流計(jì)算輸出結(jié)果

      3、對(duì)不同的負(fù)荷變化,分析潮流分布,寫(xiě)出分析說(shuō)明。

      4、對(duì)不同的負(fù)荷變化,進(jìn)行潮流的調(diào)節(jié)控制,并說(shuō)明調(diào)節(jié)控制的方法,并列表表示調(diào)節(jié)控制的參數(shù)變化。

      5、打印利用MATLAB進(jìn)行潮流分析繪制的系統(tǒng)圖,以及潮流分布圖。

      三、課程設(shè)計(jì)基本內(nèi)容

      1.對(duì)給定的網(wǎng)絡(luò)查找潮流計(jì)算所需的各元件等值參數(shù),畫(huà)出等值電路圖。

      2.輸入各支路數(shù)據(jù),各節(jié)點(diǎn)數(shù)據(jù)利用給定的程序進(jìn)行在變電所在某一負(fù)荷情況下的潮流計(jì)算,并對(duì)計(jì)算結(jié)果進(jìn)行分析。

      3.跟隨變電所負(fù)荷按一定比例發(fā)生變化,進(jìn)行潮流計(jì)算分析。1)4個(gè)變電所的負(fù)荷同時(shí)以2%的比例增大; 2)4個(gè)變電所的負(fù)荷同時(shí)以2%的比例下降;

      3)1和4號(hào)變電所的負(fù)荷同時(shí)以2%的比例下降,而2和3號(hào)變電所的負(fù)荷同時(shí)以2%的比例上升;

      4.在不同的負(fù)荷情況下,分析潮流計(jì)算的結(jié)果,如果各母線(xiàn)電壓不滿(mǎn)足要求,進(jìn)行電壓的調(diào)整。(變電所低壓母線(xiàn)電壓10KV要求調(diào)整范圍在9.5-10.5之間;電壓35KV要求調(diào)整范圍在35-36之間)。

      5.輪流斷開(kāi)環(huán)網(wǎng)一回線(xiàn),分析潮流的分布。

      6.利用MATLAB軟件,進(jìn)行繪制系統(tǒng)圖進(jìn)行上述各種情況潮流的分析,并進(jìn)行結(jié)果的比較。

      7.最終形成課程設(shè)計(jì)成品說(shuō)明書(shū)。

      四、問(wèn)題分析

      1、節(jié)點(diǎn)設(shè)置及分類(lèi)

      2設(shè)為節(jié)點(diǎn)1,10,根據(jù)系統(tǒng)圖可知此系統(tǒng)為兩端供電網(wǎng)路,將母線(xiàn)1,將變電所1、2、3、4的高低壓側(cè)分別設(shè)為節(jié)點(diǎn)2、3、4、5、6、7、8、9。并且,將節(jié)點(diǎn)1設(shè)為平衡節(jié)點(diǎn),將節(jié)點(diǎn)10設(shè)為PV節(jié)點(diǎn),其余節(jié)點(diǎn)設(shè)為PQ節(jié)點(diǎn)。

      2、參數(shù)求取

      設(shè)定基準(zhǔn)值SB?100MVA,UB?220KV,所以ZB?資料,計(jì)算發(fā)電廠、變壓器及線(xiàn)路的參數(shù)。

      (1)運(yùn)用下列公式計(jì)算變壓器參數(shù):

      SBUB2?484?根據(jù)題目原始

      PUU%UR?X?100S 1000S22kNKNT2TNNZ(2)計(jì)算線(xiàn)路參數(shù)

      ?RT?XTTZ?T?ZZTB

      Z?R?jX?(r?jx)L

      (3)變電所負(fù)荷分別為:

      變電所1

      SL=50+j30.987

      變電所2

      SL=40+j27.79 變電所3

      SL=50+j30.987

      變電所4

      SL=60+j37.18

      3、計(jì)算變壓器分接頭變比

      變壓器有5個(gè)抽頭,電壓調(diào)節(jié)范圍為UN?2*2.5%,UN對(duì)應(yīng)的分接頭開(kāi)始時(shí)設(shè)變壓器高壓側(cè)接主接頭,降壓變壓器5個(gè)分接頭時(shí)的非標(biāo)準(zhǔn)變比k*以備調(diào)壓時(shí)選用

      對(duì)變電所低壓母線(xiàn)為35KV時(shí),非標(biāo)準(zhǔn)變比與10KV時(shí)相同。

      4、計(jì)算方法

      利用牛頓拉夫遜法進(jìn)行求解,用MATLAB軟件編程,可以求解系統(tǒng)潮流分 布根據(jù)題目的不同要求對(duì)參數(shù)進(jìn)行調(diào)整,通過(guò)調(diào)節(jié)變壓器變比和發(fā)電廠的電壓,求解出合理的潮流分布,最后用PSAT進(jìn)行潮流分析,將兩者進(jìn)行比較。

      5、牛頓—拉夫遜法

      (1)牛頓—拉夫遜法概要

      首先對(duì)一般的牛頓—拉夫遜法作簡(jiǎn)單的說(shuō)明。已知一個(gè)變量X函數(shù)為:

      到此方程時(shí),由適當(dāng)?shù)慕浦礨(n?1)(0)220?(1?5%)?10?0.95511?220 220?(1?2.5%)?10k*2??0.93211?220 220?10k*3??0.90911?220 220?(1?2.5%)?10k*4??0.88611?220 220?(1?5%)?10k*5??0.86411?220 k*1?f(X)?0

      出發(fā),根據(jù):

      (n)X反復(fù)進(jìn)行計(jì)算,當(dāng)X的牛頓—拉夫遜法。

      (n)?Xf(X(n))?(n?1,2,......)f?(X(n))

      滿(mǎn)足適當(dāng)?shù)氖諗織l件就是上面方程的根。這樣的方法就是所謂

      (n)這一方法還可以做下面的解釋?zhuān)O(shè)第n次迭代得到的解語(yǔ)真值之差,即X時(shí),則:

      把f(X(n)??)在X(n)的誤差為?附近對(duì)?用泰勒級(jí)數(shù)展開(kāi)

      ??)?f(X(n)f(X(n)??)?0)??f?(X(n)f(X(n))??22!f??(X(n))?......?0

      上式省略去?2以后部分

      f(X(n))??f?(X(n))?0

      (n)X的誤差可以近似由上式計(jì)算出來(lái)。

      f(X(n))???(n)?f(X)比較兩式,可以看出牛頓—拉夫遜法的休整量和X用同樣的方法考慮,給出n個(gè)變量的n個(gè)方程:

      (n)的誤差的一次項(xiàng)相等。

      ?f1(X1,X2,?,Xn)?0?f(X,X,?,X)?0?212n ?????????fn(X1,X2,?,Xn)?0對(duì)其近似解X?得修正量?X?可以通過(guò)解下邊的方程來(lái)確定:

      11?f1???f1?f1??,?,Xn?)??f1(X1?,X2??x?x??x12n?f(X?,X?,?,X?)?????X1??f2??n???212??f2?f2?X2????????x1?x2 ?xn?????????????????X??fn?fn?fn??n??fn(X1?,X2????,?,X)?n????xn???x1?x2??fn?,X2?,?,Xn?的值。式中等號(hào)右邊的矩陣都是對(duì)于X1這一矩陣稱(chēng)為雅可比(JACOBI)

      ?xn?,?X2?,?,?Xn?后,得到如下關(guān)系 矩陣。按上述得到的修正向量?X1???Xn???Xn Xn?,X2?,?,Xn?更接近真實(shí)值。這一步在收斂到希望的值以前重復(fù)進(jìn)行,一般要反這比X1復(fù)計(jì)算滿(mǎn)足

      maxX1?n?1?X1n?1,X2n?1?X2n?1,?,Xnn?1?Xnn?1???

      ?為預(yù)先規(guī)定的小正數(shù),Xnn?1是第n次迭代Xn(2)牛頓法的框圖及求解過(guò)程

      用牛頓法計(jì)算潮流時(shí),有以下的步驟: ①給這各節(jié)點(diǎn)電壓初始值e(0),f(0); 的近似值。

      ②將以上電壓初始值代入公式,求修正方程的常數(shù)項(xiàng)向量?P(0),?Q(0),(?V2)(0); ③將電壓初始值在帶入上述公式,求出修正方程中系數(shù)矩陣的各元素。④解修正方程式?e(0),?f(0);

      ?f(0)??f(0);

      ⑥將e(1),f(1)在帶入方程式,求出?P(1),?Q(1),(?V2)(1); ⑤修正各節(jié)點(diǎn)電壓e(1)?e(0)??e(0),f⑦檢驗(yàn)是否收斂,即max?Pi(1)?(k),?Qi(k)???

      如果收斂,迭代到此結(jié)束,進(jìn)一步計(jì)算各線(xiàn)路潮流和平衡節(jié)點(diǎn)功率,并打印輸出結(jié)果。如果不收斂,轉(zhuǎn)回②進(jìn)行下次迭代計(jì)算,直到收斂為止。

      五、問(wèn)題求解

      電壓是衡量電力系統(tǒng)電能質(zhì)量的標(biāo)準(zhǔn)之一。電壓過(guò)高或過(guò)低,都將對(duì)人身及其用電設(shè)備產(chǎn)生重大的影響。保證用戶(hù)的電壓接近額定值是電力系統(tǒng)調(diào)度的基本任務(wù)之一。當(dāng)系統(tǒng)的電壓偏離允許值時(shí),電力系統(tǒng)必須應(yīng)用電壓調(diào)節(jié)技術(shù)調(diào)節(jié)系統(tǒng)電壓的大小,使其維持在允許值范圍內(nèi)。本文經(jīng)過(guò)手算形成了等值電路圖,并編寫(xiě)好了程序得出節(jié)點(diǎn)電壓標(biāo)幺值,使其滿(mǎn)足所要求的調(diào)整范圍。

      我們首先對(duì)給定的程序輸入部分作了簡(jiǎn)要的分析,程序開(kāi)始需要我們確定輸入節(jié)點(diǎn)數(shù)、支路數(shù)、平衡母線(xiàn)號(hào)、支路參數(shù)矩陣、節(jié)點(diǎn)參數(shù)矩陣。

      (1)為了保證整個(gè)系統(tǒng)潮流計(jì)算的完整性,我們把凡具有母線(xiàn)及發(fā)電機(jī)處均選作節(jié)點(diǎn),這樣,可以確定有10個(gè)節(jié)點(diǎn),節(jié)點(diǎn)號(hào)見(jiàn)等值電路圖。

      (2)確定完節(jié)點(diǎn)及編號(hào)后,各條支路也相應(yīng)確定了,網(wǎng)絡(luò)中總計(jì)有13條支路,我們對(duì)各支路參數(shù)進(jìn)行了計(jì)算。根據(jù)所給實(shí)際電路圖和題中的已知條件,有以下公式計(jì)算各輸電線(xiàn)路的阻抗和對(duì)地支路電容的標(biāo)幺值和變壓器的阻抗標(biāo)幺值。選擇電壓基準(zhǔn)值為UB=220KV和功率基準(zhǔn)值SB=100MVA,所以ZB?

      六、誤差分析

      UB=484?。SB以系統(tǒng)在正常運(yùn)行的情況下為例。利用PSAT軟件,比對(duì)MATLAB中的B1、B2矩陣,得到各個(gè)節(jié)點(diǎn)的電壓狀況。將PSAT軟件運(yùn)行的結(jié)果對(duì)MATLAB運(yùn)行的結(jié)果進(jìn)行校驗(yàn)。同時(shí)利用該軟件對(duì)圖形顏色的變化的描繪和數(shù)據(jù)對(duì)結(jié)果進(jìn)行呈現(xiàn)。得到PSAT軟件運(yùn)行的結(jié)果各節(jié)點(diǎn)的電壓標(biāo)幺值,并與MATLAB程序運(yùn)行得到的結(jié)果進(jìn)行比較。

      七、心得體會(huì)及總結(jié)

      此次課程設(shè)計(jì)使我在潮流計(jì)算、MATLAB的使用方面均有所提高,但也暴露出了一些問(wèn)題;理論知識(shí)的儲(chǔ)備還是不足,對(duì)MATLAB的性能和特點(diǎn)還不能有一個(gè)全面的把握,對(duì)MATLAB中PSAT工具箱使用不夠熟悉,相信通過(guò)以后的學(xué)習(xí)能彌補(bǔ)這些不足,從而達(dá)到一個(gè)新的層次。潮流計(jì)算是電力系統(tǒng)的最基本、最常用的分析計(jì)算。用以研究系統(tǒng)規(guī)劃和運(yùn)行中提出的各種問(wèn)題。對(duì)規(guī)劃中的電力系統(tǒng),通過(guò)潮流計(jì)算可以檢驗(yàn)所提出的電力系統(tǒng)規(guī)劃方案能否滿(mǎn)足各種運(yùn)行方式的要求;對(duì)運(yùn)行中的電力系統(tǒng),通過(guò)潮流計(jì)算可以預(yù)知各種負(fù)荷變化和網(wǎng)絡(luò)結(jié)構(gòu)的改變會(huì)不會(huì)危及系統(tǒng)的安全,系統(tǒng)中所有母線(xiàn)的電壓是否在允許的范圍以?xún)?nèi),系統(tǒng)中各種元件(線(xiàn)路、變壓器等)是否會(huì)出現(xiàn)過(guò)負(fù)荷,以及可能出現(xiàn)過(guò)負(fù)荷時(shí)應(yīng)事先采取哪些預(yù)防措施等。實(shí)際的情況遠(yuǎn)比我們計(jì)算的情況復(fù)雜,這讓我深刻了解了潮流計(jì)算的重要性。精準(zhǔn)的潮流計(jì)算不僅可以使電網(wǎng)處于穩(wěn)定且平衡的狀態(tài),這對(duì)電網(wǎng)的安全運(yùn)行起到關(guān)鍵性的作用,還可以實(shí)現(xiàn)運(yùn)行的經(jīng)濟(jì)性。所以我認(rèn)為學(xué)好電力系統(tǒng)的關(guān)鍵在于學(xué)好潮流計(jì)算,電力系統(tǒng)的安全運(yùn)行不是兒戲,一個(gè)很小的失誤就可能造成整個(gè)電網(wǎng)的崩潰,這給國(guó)家和社會(huì)帶來(lái)的危害和損失之大是可想而知的。學(xué)好電力系統(tǒng)這門(mén)課、學(xué)好潮流計(jì)算是我們電專(zhuān)業(yè)學(xué)生的當(dāng)務(wù)之急。沒(méi)有堅(jiān)實(shí)的基礎(chǔ)就不可能建造出高樓大廈,所以,要想在以后的工作崗位上有所成就,就必須打好基礎(chǔ),努力提高自己的專(zhuān)業(yè)素養(yǎng)。

      通過(guò)此次課程設(shè)使得我更加扎實(shí)的掌握了有關(guān)潮流計(jì)算和MATLAB方面相關(guān)的知識(shí),在設(shè)計(jì)過(guò)程中雖然遇到了一些問(wèn)題,但經(jīng)過(guò)一次又一次的思考,一遍又一遍的檢查終于找出了原因所在,也暴露出了前期我在這方面的知識(shí)欠缺和經(jīng)驗(yàn)不足。實(shí)踐出真知,通過(guò)親自動(dòng)手制作,使我們掌握的知識(shí)不再是紙上談兵。過(guò)而能改,善莫大焉。在課程設(shè)計(jì)過(guò)程中,我們不斷發(fā)現(xiàn)錯(cuò)誤,不斷改正不斷領(lǐng)悟和不斷獲取。最終的檢測(cè)調(diào)試環(huán)節(jié)本身就是在踐行“過(guò)而能改,善莫大焉”的知行觀。這次課程設(shè)計(jì)終于順利完成了。在設(shè)計(jì)中遇到了很多問(wèn)題,最后在老師的指導(dǎo)下。終于游逆而解。在今后社會(huì)的發(fā)展和學(xué)習(xí)實(shí)踐過(guò)程中,一定要不懈努力不能遇到問(wèn)題就想到要退縮,一定要不厭其煩的發(fā)現(xiàn)問(wèn)題所在,然后一一進(jìn)行解決。只有這樣,才能成功的做成想做的事,才能在今后的道路上披荊斬棘,而不是知難而退,那樣永遠(yuǎn)不可能收獲成功,收獲喜悅。也永遠(yuǎn)不可能得到社會(huì)及他人對(duì)你的認(rèn)可。

      課程設(shè)計(jì)誠(chéng)然是一門(mén)專(zhuān)業(yè)課,給我很多專(zhuān)業(yè)知識(shí)以及專(zhuān)業(yè)技能上的提升,同時(shí)又是一門(mén)講道課;一門(mén)辯思課,給了我許多道,給了我很多思,給了我莫大的空間。同時(shí)設(shè)計(jì)讓我感觸很深。使我對(duì)抽象的理論有了具體的認(rèn)識(shí)。通過(guò)這次課程設(shè)計(jì)。我掌握了MATLAB中各種元器件的識(shí)別和使用,熟悉了和了解了潮流計(jì)算的基本原理。以及如何提高實(shí)驗(yàn)的求解精度和收斂性能。掌握了MATLAB軟件的方法和技術(shù)。

      我認(rèn)為,在這學(xué)期的實(shí)驗(yàn)中,不僅培養(yǎng)了獨(dú)立思考、動(dòng)手操作的能力。在各種其它能力上也都有了提高。更重要的是,在實(shí)驗(yàn)課上,我們學(xué)會(huì)了很多學(xué)習(xí)的方法。而這是日后最實(shí)用的,真的是受益匪淺。要面對(duì)社會(huì)的挑戰(zhàn),只有不斷的學(xué)習(xí)、實(shí)踐、再學(xué)習(xí)、再實(shí)踐。這對(duì)于我們的將來(lái)也有很大的幫助。以后不管有多苦,我想我們都能變苦為樂(lè)。找尋有趣的事情,發(fā)現(xiàn)其中珍貴的事情。就像中國(guó)提倡的艱苦奮斗一樣,我們都可以在實(shí)驗(yàn)結(jié)束之后變的更加成熟,會(huì)面對(duì)需要面對(duì)的事情。

      回顧起此課程設(shè)計(jì),至今我仍感慨頗多。從理論到實(shí)踐,在這段日子里,可以說(shuō)得是苦多于甜,但是可以學(xué)到很多很多的東西,同時(shí)不僅可以鞏固了以前所學(xué)過(guò)的知識(shí)。而且學(xué)到了很多在書(shū)本上所沒(méi)有學(xué)到過(guò)的知識(shí)。通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的。只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái)從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,但可喜的是最終都得到了解決。

      實(shí)驗(yàn)過(guò)程中,也對(duì)團(tuán)隊(duì)精神的進(jìn)行了考察,讓我們?cè)诤献髌饋?lái)更加默契,在成功后一起體會(huì)喜悅的心情。果然是團(tuán)結(jié)就是力量。只有互相之間默契融洽的配合才能換來(lái)最終完美的結(jié)果。此次設(shè)計(jì)也讓我明白了思路即出路,有什么不懂不明白的地方要及時(shí)請(qǐng)教或上網(wǎng)查詢(xún)。只要認(rèn)真鉆研、動(dòng)腦思考、動(dòng)手實(shí)踐,就沒(méi)有弄不懂的知識(shí),收獲頗豐。課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提出,分析和解決實(shí)際問(wèn)題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過(guò)程。隨著科學(xué)技術(shù)發(fā)展的日新日異,MATLB已經(jīng)成為當(dāng)前電力系統(tǒng)重要的仿真軟件之一。因此作為二十一世紀(jì)的電氣專(zhuān)業(yè)的大學(xué)來(lái)說(shuō)掌握MATLB的開(kāi)發(fā)技術(shù)是十分重要的。回顧起此次課程設(shè)計(jì),至今我仍感慨頗多,的確,從選題到定稿,從理論到實(shí)踐,在整整兩星期的日子里,可以說(shuō)得是苦多于甜,但是可以學(xué)到很多很多的東西。同時(shí)不僅可以鞏固了以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書(shū)本上所沒(méi)有學(xué)到過(guò)的知識(shí)。通過(guò)這次課程設(shè)計(jì)使我懂得了理論。

      與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,這畢竟第一次做的,難免會(huì)遇到過(guò)各種各樣的問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處。對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固。比如說(shuō)MATLAB中原理圖的搭建以及潮流計(jì)算的基本原理掌握得不好。通過(guò)這次課程設(shè)計(jì)之后,一定把以前所學(xué)過(guò)的知識(shí)重新溫故。

      這次課程設(shè)計(jì)終于順利完成了,在設(shè)計(jì)中遇到了很多編程問(wèn)題。最后在陳老師的辛勤指導(dǎo)下,終于游逆而解。同時(shí),在陳老師的身上我學(xué)得到很多實(shí)用的知識(shí)。

      整個(gè)設(shè)計(jì)通過(guò)了軟件調(diào)試和圖形搭建以及程序設(shè)計(jì)。我想這對(duì)于自己以后的學(xué)習(xí)和工作都會(huì)有很大的幫助。在這次設(shè)計(jì)中遇到了很多實(shí)際性的問(wèn)題,在實(shí)際設(shè)計(jì)中才發(fā)現(xiàn)書(shū)本上理論性的東西與在實(shí)際運(yùn)用中的還是有一定的出入的。所以有些問(wèn)題不但要深入地理解,而且要不斷地更正以前的錯(cuò)誤思維。一切問(wèn)題必須要靠自己一點(diǎn)一滴的解決,而在解決的過(guò)程當(dāng)中你會(huì)發(fā)現(xiàn)自己在飛速的提升。對(duì)于教材管理系統(tǒng),其程序是比較簡(jiǎn)單的,主要是解決程序設(shè)計(jì)中的問(wèn)題。而程序設(shè)計(jì)是一個(gè)很靈活的東西,它反映了你解決問(wèn)題的邏輯思維和創(chuàng)新能力,它才是一個(gè)設(shè)計(jì)的靈魂所在。因此在整個(gè)設(shè)計(jì)過(guò)程中大部分時(shí)間是用在MATLAB圖形的搭建和參數(shù)的設(shè)置。其中很多子程序是可以借鑒書(shū)本上的,但怎樣銜接各個(gè)子程序才是關(guān)鍵的問(wèn)題所在,這需要對(duì)系統(tǒng)的結(jié)構(gòu)很熟悉。因此可以說(shuō)系統(tǒng)的設(shè)計(jì)是軟件和硬件的結(jié)合,二者是密不可分的。通過(guò)這次課程設(shè)計(jì)我也發(fā)現(xiàn)了自身存在的不足之處,雖然感覺(jué)理論上已經(jīng)掌握,但在運(yùn)用到實(shí)踐的過(guò)程中仍有意想不到的困惑,經(jīng)過(guò)一番努力才得以解決。這也激發(fā)了我今后努力學(xué)習(xí)的興趣,我想這將對(duì)我以后的學(xué)習(xí)產(chǎn)生積極的影響。其次,這次課程設(shè)計(jì)讓我充分認(rèn)識(shí)到團(tuán)隊(duì)合作的重要性,只有分工協(xié)作才能保證整個(gè)項(xiàng)目的有條不紊。另外在課程設(shè)計(jì)的過(guò)程中,當(dāng)我們碰到不明白的問(wèn)題時(shí),陳老師總是耐心的講解,給我們的設(shè)計(jì)以極大的幫助,使我們獲益匪淺。因此非常感謝老師的教導(dǎo)。通過(guò)這次設(shè)計(jì),我懂得了學(xué)習(xí)的重要性,了解到理論知識(shí)與實(shí)踐相結(jié)合的重要意義,學(xué)會(huì)了堅(jiān)持、耐心和努力,這將為自己今后的學(xué)習(xí)和工作做出了最好的榜樣。我覺(jué)得作為一名電氣工程專(zhuān)業(yè)的學(xué)生,這次課程設(shè)計(jì)是很有意義的。更重要的是如何把自己平時(shí)所學(xué)的東西應(yīng)用到實(shí)際中。雖然自己對(duì)于這門(mén)課程懂的并不多,很多基礎(chǔ)的東西都還沒(méi)有很好的掌握,覺(jué)得很難,也沒(méi)有很有效的辦法通過(guò)自身去理解。但是通過(guò)這次的課程設(shè)計(jì)的溝通和各個(gè)小組同學(xué)探討,漸漸對(duì)這門(mén)課程逐漸產(chǎn)生了些許的興趣,自己開(kāi)始主動(dòng)學(xué)習(xí)并逐步從基礎(chǔ)慢慢開(kāi)始弄懂它。

      下載課程設(shè)計(jì)項(xiàng)目實(shí)踐word格式文檔
      下載課程設(shè)計(jì)項(xiàng)目實(shí)踐.doc
      將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
      點(diǎn)此處下載文檔

      文檔為doc格式


      聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶(hù)自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

      相關(guān)范文推薦

        動(dòng)漫項(xiàng)目課程設(shè)計(jì)及實(shí)踐分析論文(共五則)

        一、校企合作課程概述所謂校企合作課程指的是,在現(xiàn)階段我國(guó)社會(huì)主義現(xiàn)代化建設(shè)和教育教學(xué)方面為了進(jìn)一步滿(mǎn)足社會(huì)對(duì)綜合型人才的需要,學(xué)校和企業(yè)之間就某一項(xiàng)目或某幾個(gè)項(xiàng)目進(jìn)......

        單片機(jī)課程設(shè)計(jì)設(shè)計(jì)項(xiàng)目

        09級(jí)通信專(zhuān)業(yè)《課程設(shè)計(jì)》方案 單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)項(xiàng)目介紹學(xué)生:09級(jí)通信1、2、3班指導(dǎo)教師:周秋茜一、16×16點(diǎn)陣LED電子顯示屏的設(shè)計(jì)1.功能要求設(shè)計(jì)一個(gè)室內(nèi)用16×16點(diǎn)陣LED......

        項(xiàng)目管理課程設(shè)計(jì)心得

        課程設(shè)計(jì)心得通過(guò)這次的銀海城市花園施工組織設(shè)計(jì),我從中學(xué)會(huì)了很多。 這次的課程設(shè)計(jì)項(xiàng)目中我主要是負(fù)責(zé)的質(zhì)量保證措施這一方面的問(wèn)題,所以對(duì)此的印象極為深刻。在質(zhì)量的這......

        軟件工程與實(shí)踐課程設(shè)計(jì)

        《軟件工程與實(shí)踐》課程設(shè)計(jì)方案 本課程屬專(zhuān)業(yè)必修課,是一門(mén)實(shí)踐性較強(qiáng)的計(jì)算機(jī)類(lèi)課程,授課對(duì)象為計(jì)算機(jī)專(zhuān)業(yè)及相關(guān)專(zhuān)業(yè)的本科生。本課程是對(duì)軟件工程課程所述內(nèi)容的進(jìn)一步深......

        c 課程設(shè)計(jì)實(shí)踐報(bào)告

        c++課程設(shè)計(jì)實(shí)踐報(bào)告 班級(jí):83121 姓名:張弛 學(xué)號(hào):XX1000491 題目一、 題目描述: 已知銀行整存整取存款不同期限的月息利率(采用復(fù)利 方式,即利滾利)分別為 % 期限一年 % 期限二年......

        綜合實(shí)踐活動(dòng)課程設(shè)計(jì)(原創(chuàng))

        綜合實(shí)踐活動(dòng)課程主題設(shè)計(jì)活動(dòng)主題:重拾民俗民風(fēng),弘揚(yáng)傳統(tǒng)文化綜合實(shí)踐活動(dòng)課程設(shè)計(jì)活 動(dòng) 主 題重拾民俗民風(fēng),弘揚(yáng)傳統(tǒng)文化一、課 題 產(chǎn) 生 的 背 景:首先,現(xiàn)在的城市滿(mǎn)街都是麥......

        創(chuàng)新實(shí)踐課程設(shè)計(jì)要求(★)

        《創(chuàng)新實(shí)踐》課程設(shè)計(jì)基本步驟 1、 需求分析 以無(wú)歧義的陳述說(shuō)明程序設(shè)計(jì)的任務(wù),強(qiáng)調(diào)的是程序要做什么。明確規(guī)定:輸入的形式和輸入值的范圍;輸出的形式;程序所能達(dá)到的功能;測(cè)試......

        房地產(chǎn)項(xiàng)目策劃課程設(shè)計(jì)(精選五篇)

        四節(jié) 項(xiàng)目SWOT分析 優(yōu)勢(shì): 1、地理位置優(yōu)越:地塊東北面和西面緊鄰西二環(huán)和工業(yè)路交通主干道,沿街商業(yè)面積比重大; 2、交通便利:項(xiàng)目所在區(qū)域交通條件便利,屬于二環(huán)的黃金位置,使得出......